UNITED STATES
SECURITIES AND EXCHANGE COMMISSION
WASHINGTON, D.C. 20549
FORM 10-K
(Mark One)
x | ANNUAL REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE ACT OF 1934 |
For the fiscal year ended June 30, 2013
OR
¨ | TRANSITION REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE ACT OF 1934 |
For the transition period from to .
Commission file number: 0-12933
LAM RESEARCH CORPORATION
(Exact name of registrant as specified in its charter)
Delaware | 94-2634797 | |
(State or other jurisdiction of incorporation or organization) |
(I.R.S. Employer Identification No.) | |
4650 Cushing Parkway Fremont, California |
94538 | |
(Address of principal executive offices) | (Zip code) |
Registrants telephone number, including area code: (510) 572-0200
Securities registered pursuant to Section 12(b) of the Act:
Title of class |
Name of exchange on which registered | |
Common Stock, Par Value $0.001 Per Share | The NASDAQ Stock Market LLC (NASDAQ Global Select Market) |
Securities registered pursuant to Section 12(g) of the Act:
None
(Title of class)
Indicate by check mark if the registrant is a well-known seasoned issuer, as defined in Rule 405 of the Securities Act. Yes x No ¨
Indicate by check mark if the registrant is not required to file reports pursuant to Section 13 or Section 15(d) of the Act. Yes ¨ No x
Indicate by check mark whether the registrant (1) has filed all reports required to be filed by Section 13 or 15(d) of the Securities Exchange Act of 1934 during the preceding 12 months (or for such shorter period that the registrant was required to file such reports), and (2) has been subject to such filing requirements for the past 90 days. Yes x No ¨
Indicate by check mark whether the registrant has submitted electronically and posted on its corporate Web site, if any, every Interactive Data File required to be submitted and posted pursuant to Rule 405 of Regulation S-T during the preceding 12 months (or for such shorter period that the registrant was required to submit and post such files). Yes x No ¨
Indicate by check mark if disclosure of delinquent filers pursuant to Item 405 of Regulation S-K is not contained herein, and will not be contained, to the best of registrants knowledge, in definitive proxy or information statements incorporated by reference in Part III of this Form 10-K or any amendment to this Form 10-K. x
Indicate by check mark whether the registrant is a large accelerated filer, an accelerated filer, a non-accelerated filer, or a smaller reporting company. See the definitions of large accelerated filer, accelerated filer and smaller reporting company in Rule 12b-2 of the Exchange Act. (Check one):
Large accelerated filer | x | Accelerated filer | ¨ | |||
Non-accelerated filer | ¨ (Do not check if a smaller reporting company) | Smaller reporting company | ¨ |
Indicate by check mark whether the registrant is a shell company (as defined in Rule 12b-2 of the Exchange Act). Yes ¨ No x
The aggregate market value of the Registrants Common Stock, $0.001 par value, held by non-affiliates of the Registrant, as of December 23, 2012, the last business day of the most recently completed second fiscal quarter with respect to the fiscal year covered by this Form 10-K, was $4,776,853,218. Common Stock held by each officer and director and by each person who owns 5% or more of the outstanding Common Stock has been excluded from this computation in that such persons may be deemed to be affiliates. This determination of affiliate status is not necessarily a conclusive determination of such status for other purposes.
As of August 20, 2013, the Registrant had 163,149,977 outstanding shares of Common Stock.
Documents Incorporated by Reference
Parts of the Registrants Proxy Statement for the Annual Meeting of Stockholders expected to be held on or about November 7, 2013 are incorporated by reference into Part III of this Form 10-K. (However, the Reports of the Audit Committee and Compensation Committee are expressly not incorporated by reference herein.)
LAM RESEARCH CORPORATION
2013 ANNUAL REPORT ON FORM 10-K
2
CAUTIONARY STATEMENT REGARDING FORWARD-LOOKING STATEMENTS
With the exception of historical facts, the statements contained in this discussion are forward-looking statements, which are subject to the safe harbor provisions created by the Private Securities Litigation Reform Act of 1995. Certain, but not all, of the forward-looking statements in this report are specifically identified as forward-looking, by use of phrases and words such as we believe, we anticipate, we expect, may, should, could, and other future-oriented terms. The identification of certain statements as forward-looking is not intended to mean that other statements not specifically identified are not forward-looking. Forward-looking statements include, but are not limited to, statements that relate to our future revenue, shipments, costs, earnings, income, margins, product development, demand, acceptance and market share, competitiveness, market opportunities, product performance, levels of research and development (R&D), the success of our marketing, sales and service efforts, outsourced activities and operating expenses, anticipated manufacturing, customer and technical requirements, the ongoing viability of the solutions that we offer and our customers success, tax expenses, our managements plans and objectives for our current and future operations and business focus, the levels of customer spending, general economic conditions, the sufficiency of financial resources to support future operations, and capital expenditures. Such statements are based on current expectations and are subject to risks, uncertainties, and changes in condition, significance, value and effect, including without limitation those discussed below under the heading Risk Factors within Item 1A and elsewhere in this report and other documents we file from time to time with the Securities and Exchange Commission (the SEC), such as our quarterly reports on Form 10-Q and our current reports on Form 8-K. Such risks, uncertainties and changes in condition, significance, value and effect could cause our actual results to differ materially from those expressed in this report and in ways we cannot readily foresee. Readers are cautioned not to place undue reliance on these forward-looking statements, which speak only as of the date hereof and are based on information currently and reasonably known to us. We do not undertake any obligation to release the results of any revisions to these forward-looking statements, which may be made to reflect events or circumstances that occur after the date of this report or to reflect the occurrence or effect of anticipated or unanticipated events.
Item 1. | Business |
Incorporated in 1980, Lam Research Corporation (Lam Research, Lam, we, or the Company) is a Delaware corporation, headquartered in Fremont, California. We maintain a network of facilities throughout Asia, Europe, and North America in order to meet the needs of our dynamic customer base.
Additional information about Lam Research is available on our website at www.lamresearch.com.
Our Annual Report on Form 10-K, Quarterly Reports on Forms 10-Q, Current Reports on Forms 8-K, and any amendments to those reports are available on our website as soon as reasonably practical after we file them with or furnish them to the SEC and are also available online at the SECs website at www.sec.gov.
The Lam Research logo, Lam Research, and all product and service names used in this report are either registered trademarks or trademarks of Lam Research Corporation or its subsidiaries in the United States and/or other countries. All other marks mentioned herein are the property of their respective holders.
Lam Research is a global supplier of innovative wafer fabrication equipment and services to the semiconductor industry. We design, manufacture, market, refurbish, and service semiconductor processing systems that are used in the fabrication of integrated circuits (ICs). Our market-leading products are designed to help our customers build the smaller, faster, and more power-efficient devices that are used in a variety of electronic products, including cell phones, computers, storage devices, and networking equipment.
3
The Companys customer base includes leading semiconductor memory, foundry, and integrated device manufacturers (IDMs) that make products such as DRAM, NAND memory, and logic devices. Semiconductor manufacturing, our customers business, involves the complete fabrication of multiple die, or ICs, on a wafer. This involves the repetition of a set of core processes and can require hundreds of individual steps. On a silicon wafer, a tiny, intricate pattern is precisely replicated across the wafer surface to create identical miniature devices, where features can be 1,000 times smaller than a grain of sand. Fabricating these devices requires highly sophisticated process technologies and precision control at the atomic scale. Along with meeting technical requirements, wafer processing equipment must deliver high productivity and be cost-effective.
At Lam Research, we leverage our expertise in semiconductor device processing to develop enabling technology and productivity solutions that typically benefit our customers through lower defect rates, enhanced yields, faster processing time, and/or reduced cost. We offer a broad portfolio of complementary products that are used in several areas of the semiconductor manufacturing process flow, including plasma etch, thin film deposition, photoresist strip, and wafer cleaning. These processes, which are repeated numerous times during the wafer fabrication cycle, are required to manufacture every type of semiconductor device.
Our products are used primarily in front-end wafer processing, which involves the steps that create the active components of a device (transistor, capacitor) and their wiring (interconnect). Market demand for ever-smaller IC designs is driving the development of and migration to new fabrication strategies, such as three-dimensional (3D) architectures and multiple patterning. We also address processes for back-end wafer-level packaging (WLP), which is an alternative to traditional two dimensional packaging and offers a smaller form factor, increased interconnect speed and bandwidth, and lower power consumption, among other benefits. In addition, our products are well-suited for related markets that rely on semiconductor processes and require production-proven manufacturing capability, such as micro-electromechanical systems (MEMS).
We are the market leader in plasma etch, a highly critical process step that selectively removes materials from the wafer to create the features and patterns of a device. The Companys high-productivity thin film deposition systems form a devices sub-microscopic layers of conducting (metal) or insulating (dielectric) materials. Our market-leading photoresist strip systems remove the photoresist mask before a wafer proceeds to the next processing step. Lams suite of single-wafer wet and plasma-based wafer cleaning products remove particles and residues from the wafer surface before or after adjacent processes.
Our Customer Support Business Group (CSBG) provides products and services to maximize installed equipment performance and operational efficiency. We offer a broad range of services to deliver value throughout the lifecycle of our equipment, including customer service, spares, upgrades, and refurbishment of our etch, deposition, photoresist strip, and clean products. Many of the technical advances that we introduce in our newest products are also available as upgrades, which provide customers with a cost-effective strategy for extending the performance and capabilities of their existing wafer fabrication lines. CSBG also offers refurbished and newly built previous-generation (legacy) equipment for those applications that do not require the most advanced wafer processing capability.
Silfex and Peter Wolters are wholly-owned subsidiaries of Lam. Silfex is a leading provider of high-purity custom silicon components and assemblies that serve technology markets including solar, optics, and semiconductor equipment. Peter Wolters is a leader in the design and manufacture of high-precision grinding, lapping, polishing, and deburring systems used in the automotive, aerospace, medical, semiconductor manufacturing and other industries.
4
Products
Plasma Etch
As the semiconductor industry continues to improve device performance and shrink critical feature sizes, plasma etch faces multiple challenges. These include processing smaller features, new materials, new transistor structures, increasingly complex film stacks, and ever higher aspect ratio structures. For conductor etch, requirements include delivering atomic precision control for etching FinFET/3D gate transistors, multifilm stacks for high-k/metal gate structures, and multiple patterning structures. Dielectric etch processes must be able to maintain etch profiles on increasingly high aspect ratio (HAR) structures such as in 3D NAND devices, etch new multi-layer photoresist materials and amorphous carbon hardmasks, and avoid damaging fragile low-k materials. In emerging 3D integrated circuits (3D ICs), through-silicon vias (TSVs) are now used to provide interconnect capability for die-to-die and wafer-to-wafer stacking. Critical factors for TSV are etching a variety of materials in the same chamber (in situ), as well as being able to use both conventional and special techniques for deep silicon etching. For all etch processes, it is important to provide excellent profile control and across-wafer uniformity while maintaining high productivity and cost efficiency.
Conductor Etch 2300® Kiyo® Product Family, 2300® Versys® Metal Product Family
The 2300 Kiyo product family delivers high-performance, high-productivity, low-risk solutions for conductor etch applications. Superior uniformity, uniformity control, and repeatability are enabled by a symmetrical chamber design, leading electrostatic chuck technology, and independent tuning features. The Kiyo products deliver high productivity with low defectivity on multi-film stacks, enabled by in situ etch capability, continuous plasma, and advanced Waferless Autoclean technology. Applications include shallow trench isolation (STI), high-k/metal gate, FinFET and tri-gate, and multiple patterning. The 2300 Versys Metal product family provides a flexible platform for back-end-of-line (BEOL) metal etch processes. Symmetrical chamber design and independent tuning features provide critical dimension, profile uniformity, and uniformity control for metal hardmask applications. The products proprietary chamber cleaning technology ensures high availability, high yield, and exceptional process repeatability for aluminum etching. Applications include metal hardmask, high-density aluminum line, and aluminum pad.
Dielectric Etch 2300® Flex Product Family
The 2300 Flex product family offers differentiated technologies and application-focused capabilities for critical dielectric etch applications. Exceptional uniformity, repeatability, and tunability are enabled by a unique multi-frequency, small-volume, confined plasma design. The systems deliver high productivity with low defectivity, enabled by in situ multi-step etch and continuous plasma capability. Low-risk, cost-effective upgrades provide evolutionary product transitions that extend product life and maximize return on investment. Applications include low-k and ultra low-k dual damascene, HAR and self-aligned contacts, capacitor cell, and mask open.
TSV Etch 2300® Syndion® Product Family
Based on Lams production-proven conductor etch products, the 2300 Syndion TSV etch family provides low-risk, flexible solutions to address multiple TSV etch applications. The Syndion products provide a low cost of ownership due to high etch rates, excellent repeatability, and in situ etching of multiple materials in the TSV stack (silicon, dielectrics, conducting films). The systems support both conventional single-step etch and rapidly alternating process (RAP). High process flexibility, superior profile control, and excellent uniformity enable successful TSV implementation for a variety of CMOS 3D IC and image sensor applications.
Thin Film Deposition
In leading-edge semiconductor designs, metal deposition processes face significant scaling and integration challenges. For advanced copper interconnect structures, challenges for electrochemical deposition (ECD)
5
include providing complete, void-free fill of HAR structures with low defectivity and high productivity. Electroplating of copper and other metals is also used for TSV and WLP applications, such as forming conductive bumps and redistribution layers (RDLs). These applications require excellent within-wafer uniformity at high plating rates, minimizing defects, and reducing costs. For tungsten chemical vapor deposition (CVD) processes, key requirements are minimizing contact resistance to meet lower power consumption requirements and achieving void-free fill for narrow nanoscale structures. In addition, good barrier step coverage at reduced thicknesses relative to physical vapor deposition/CVD barrier films is also needed to improve contact fill and reduce resistivity.
In dielectric deposition, high-productivity, high-quality films are needed for a number of critical process steps. For example, next-generation FinFET transistor structures and BEOL self-aligned double patterning require highly conformal film deposition and atomic level control of film dimensions to ensure device performance. The numerous alternating film layers used in new 3D NAND designs require exceptional stress and defectivity control and ultra-smooth film deposition. Plasma-enhanced CVD (PECVD) is often used for these applications, as well as for advanced WLP, where depositing high-quality films without exceeding thermal budgets is essential. For gapfill deposition, achieving defect-free fills while maintaining high throughput is essential. Preferred approaches are to use high-density plasma CVD (HDP-CVD) either as a complete gapfill solution or as a cap over other gapfill technologies to enhance process control and mitigate integration risks. Lastly, innovative post-deposition film treatments such as ultraviolet thermal processing (UVTP) are being used to improve low-k film integrity and increase strain in nitride layers for improved device performance.
Copper Metal Films SABRE® Product Family
The SABRE ECD product family is the industrys productivity-leading platform for copper damascene manufacturing. Electrofill® technology provides high-throughput, void-free fill with superior defect density performance for advanced technology nodes. SABRE chemistry packages provide leading-edge fill performance for the lowest defectivity, widest process window, and highest rates of bottom-up growth to fill the most challenging HAR features. System capabilities include deposition of copper directly on various liner materials, important for next-generation metallization schemes. The number of yielding ICs per wafer has also been improved by increasing the usable die area through industry-leading process edge exclusion. Applications include copper deposition for both advanced logic and memory interconnect. We also offer the SABRE 3D system to address TSV and WLP applications, such as copper pillar, RDL, underbump metallization, bumping, and microbumps used in post-TSV processing.
Tungsten Metal Films ALTUS® Product Family
Lams market-leading ALTUS systems deposit highly conformal atomic layer films for advanced tungsten metallization applications. The patented Multi-Station Sequential Deposition (MSSD) architecture enables a nucleation layer to be formed using Pulsed Nucleation Layer (PNL) technology and bulk CVD fill to be performed in situ. PNL, Lams atomic layer deposition (ALD) technology, is used in the deposition of tungsten nitride films to achieve high step coverage with reduced thickness relative to conventional barrier films. PNL is also used to reduce thickness and alter CVD bulk fill grain growth, lowering the overall resistivity of thin tungsten films. The advanced ExtremeFill CVD tungsten technology provides extendibility to fill the most challenging structures at advanced technology nodes. Applications include tungsten plug and via fill, low-stress composite interconnects, and tungsten nitride barrier for via and contact metallization.
PECVD Dielectric Films VECTOR® Product Family
Lams VECTOR family of PECVD systems delivers superior thin film quality, wafer-to-wafer uniformity, productivity, and low cost of ownership. The MSSD architecture enables industry-leading performance with both sequential processing and parallel processing to provide broad process flexibility for a range of applications. VECTOR products offer specialized systems for logic and memory applications. VECTOR Express offers a
6
small footprint with four processing stations. VECTOR Excel is a modular tool for advanced technology nodes where pre-and-post film deposition treatments are needed. VECTOR Extreme accommodates up to 12 process stations for high-throughput memory processes. Applications include deposition of ashable hardmasks, oxides, nitrides, carbides, and anti-reflective layers.
Gapfill Dielectric Films SPEED® Product Family
Lams SPEED HDP-CVD products provide void-free gapfill of high-quality dielectric films with superior throughput and reliability. The unique source design provides excellent particle performance, while the ability to customize the deposition and in situ etching profile ensures best-of-breed across-wafer thickness and gapfill uniformity. Together, the chamber and plasma source designs allow large batch sizes between cleans and faster cleans to deliver superior throughput. Broad process flexibility is available on the same platform, without requiring major hardware changes. Target applications include STI, pre-metal dielectrics, inter-layer dielectrics, inter-metal dielectrics, and passivation layers.
Film Treatment SOLA® Product Family
The SOLA UVTP product family is used for treatment of BEOL low-k dielectric films and front-end-of-line (FEOL) silicon nitride strained films. The systems incorporate a proprietary treatment process that modifies the physical characteristics of a previously deposited film through exposure to ultraviolet light, gases and vapors, and heat. The Multi-Station Sequential Processing (MSSP) architecture allows independent control of temperature, wavelength, and intensity at each station of the wafer path. This enables delivery of best-in-class film properties, within-wafer and wafer-to-wafer uniformity, and productivity.
Photoresist Strip
With the semiconductor industrys migration to ultra-shallow junctions, multiple patterning, ultra low-k dielectrics, and 3D architectures, photoresist strip processes need to manage more complex device structures. At the transistor level, small changes can affect junction resistivity, junction depth, and dopant activation, thereby affecting device performance. For interconnect structures, unwanted changes in the properties of low-k dielectrics can also impact performance. These concerns are driving the development of new photoresist strip processes for advanced technology nodes. Challenges include removing residues, minimizing oxidation and silicon loss, and providing damage-free results, while at the same time delivering high throughput and low cost of ownership.
Photoresist Strip G400®, GxT®, G3D®
Lams photoresist strip systems are based on our production-proven MSSP platform. The MSSP architecture provides multiple process stations, where both temperature and chemistry may be independently controlled, allowing bulk strip, high-dose implant strip (HDIS), and dry clean processes to be performed all on the same platform. The high-productivity G400 is targeted for bulk strip and HDIS applications, primarily in large DRAM and NAND memory fabs. Enhanced source technology combined with faster wafer heating provides high throughput for bulk strip and implant strip applications. The GxT system is designed for critical logic device manufacturing process steps that demand low silicon loss and ultra-low defectivity. The G3D systems unique combination of multi-station high-productivity, low-temperature, and directional processing capability delivers high-productivity strip and complete residue removal for advanced WLP applications.
Single-Wafer Clean
Wafer cleaning is a critical function that must be repeated many times during the semiconductor manufacturing process, from device fabrication through packaging. As device geometries shrink and new materials are introduced, the number of cleaning steps continues to grow. Furthermore, each step has different
7
selectivity and defectivity requirements that add to manufacturing complexity. For next-generation devices, fragile structures need to be cleaned without causing damage. In addition, cleaning steps that target the bevel region can help eliminate the wafer edge as a source of yield-limiting defects as well as increase the number of good die at the wafers edge to improve yield.
Wet Clean DV-Prime®, Da Vinci®, SP Series
Lams single-wafer spin technology pioneered the industry transition from batch to single-wafer wet processing. These production-proven spin wet clean systems provide the productivity and flexibility needed for both high-volume manufacturing and leading-edge development across multiple technology nodes and for all device types. The products deliver excellent process uniformity across the wafer, wafer-to-wafer, and lot-to-lot. Proprietary technologies enhance damage-free particle removal and dry wafers so that they are free of pattern collapse and watermarks. Offering the latest in dilute chemistry and solvent systems, the products meet defectivity and material integrity requirements. Applications include particle, polymer, and residue removal; photoresist removal; and wafer backside/bevel cleaning. Our wet clean systems are also used for multiple wet etch and clean applications for WLP, including silicon substrate thinning, wafer stress relief, and backside/bevel clean.
Plasma Bevel Clean 2300® Coronus®
The 2300 Coronus plasma-based bevel clean system enhances die yield by removing residues and unwanted films from the wafers edge that can impact the device area. The system combines the ability of plasma to selectively remove a wide variety of materials with a proprietary confinement technology that protects the die area. High system uptime and throughput, excellent process repeatability, and efficient in situ removal of multi-material film stacks and residues ensure high productivity for increased wafer output. Applications include post-STI, gate, middle-of-line, and BEOL etch; pre- and post-deposition; and metal film removal to prevent arcing during plasma etch or deposition steps. It is also the industrys only bevel clean product that removes amorphous-carbon films and carbon-rich residues.
Legacy Products
For applications that do not require the most advanced wafer processing capability, semiconductor manufacturers can benefit from the proven performance of previous-generation products to increase their production capacity at a reduced economic investment. Purchasing through an original equipment manufacturer (OEM) like Lam Research minimizes the risks of unexpected costs and unpredictable time to production that are typically associated with used systems purchases. To meet semiconductor manufacturers needs for high-performance, maximum-predictability, and low-risk equipment, Lam provides refurbished and newly built legacy products. Our products also provide production-worthy, cost-effective solutions for the MEMS and light emitting diode (LED) markets.
8
Products Table
Market
|
Process/Application
|
Products
| ||
Front-End Wafer Processing
| ||||
Plasma Etch | Conductor Etch
Dielectric Etch
TSV Etch
|
2300® Kiyo® product family
2300® Flex product family
2300® Syndion® product family
| ||
Thin Film Deposition | Copper Metal Films
Tungsten Metal Films
PECVD Dielectric Films
Gapfill Dielectric Films
Film Treatment (UVTP)
|
SABRE® product family
ALTUS® product family
VECTOR® product family
SPEED® product family
SOLA® product family
| ||
Photoresist Strip
|
Photoresist Strip
|
G400®, GxT®
| ||
Single-Wafer Clean | Spin Wet Clean
Plasma Bevel Clean
|
DV-Prime®, Da Vinci®, SP Series
2300® Coronus®
| ||
Back-End Wafer-Level Packaging and Through Silicon Via
| ||||
Plasma Etch
|
TSV Etch
|
2300® Syndion® product family
| ||
Thin Film Deposition
|
Metal Films
|
SABRE® 3D
| ||
Photoresist Strip
|
Photoresist Strip
|
G3D®
| ||
Single-Wafer Clean
|
Spin Wet Clean
|
SP Series
| ||
Related Manufacturing Markets
| ||||
MEMS
|
Deep Silicon Etch, PECVD Dielectric Films, Spin Wet Clean, Dry Strip/Descum
| |||
LED |
Plasma Etch, PECVD Dielectrics, Copper Metal Films, Dry Strip/Descum
|
Fiscal Periods Presented
All references to fiscal years apply to our fiscal years, which ended June 30, 2013, June 24, 2012, and June 26, 2011. In all sections of this document, the fiscal 2012 information presented reflects 20 days of Novellus related activity. There is no Novellus related activity reflected in periods prior to fiscal year 2012.
Research and Development
The market for semiconductor capital equipment is characterized by rapid technological change and product innovation. Our ability to achieve and maintain our competitive advantage depends in part on our continued and timely development of new products and enhancements to existing products. Accordingly, we devote a significant portion of our personnel and financial resources to R&D programs and seek to maintain close and responsive relationships with our customers and suppliers.
Our R&D expenses during fiscal years 2013, 2012, and 2011 were $683.7 million, $444.6 million, and $373.3 million, respectively. The majority of R&D spending over the past three years has been targeted at etch,
9
deposition, single-wafer clean, and other semiconductor manufacturing products. We believe current challenges for customers at various points in the semiconductor manufacturing process present opportunities for us.
We expect to continue to make substantial investments in R&D to meet our customers product needs, support our growth strategy, and enhance our competitive position.
Marketing, Sales, and Service
Our marketing, sales, and service efforts are focused on building long-term relationships with our customers and targeting product and service solutions designed to meet their needs. These efforts are supported by a team of product marketing and sales professionals as well as equipment and process engineers who work closely with individual customers to develop solutions for their wafer processing needs. We maintain ongoing service relationships with our customers and have an extensive network of service engineers in place throughout the United States, Europe, Taiwan, Korea, Japan, and Asia Pacific. We believe that comprehensive support programs and close working relationships with customers are essential to maintaining high customer satisfaction and our competitiveness in the marketplace.
We provide standard warranties for our systems. The warranty provides that systems shall be free from defects in material and workmanship and conform to agreed-upon specifications. The warranty is limited to repair of the defect or replacement with new or like-new equivalent goods and is valid when the buyer provides prompt notification within the warranty period of the claimed defect or non-conformity and also makes the items available for inspection and repair. We also offer extended warranty packages to our customers to purchase as desired.
International Sales
A significant portion of our sales and operations occur outside the United States and, therefore, may be subject to certain risks, including but not limited to tariffs and other barriers, difficulties in staffing and managing non-U.S. operations, adverse tax consequences, foreign currency exchange rate fluctuations, changes in currency controls, compliance with U.S. and international laws and regulations, including U.S. export restrictions, and economic and political conditions. Any of these factors may have a material adverse effect on our business, financial position, and results of operations and cash flows. For geographical reporting, revenue is attributed to the geographic location in which the customers facilities are located. Revenue by region was as follows:
Year Ended | ||||||||||||
June 30, | June 24, | June 26, | ||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands) | ||||||||||||
Revenue: |
||||||||||||
Taiwan |
$ | 1,026,548 | $ | 467,922 | $ | 766,910 | ||||||
North America |
734,324 | 458,531 | 393,004 | |||||||||
Korea |
603,821 | 893,549 | 756,660 | |||||||||
Asia Pacific |
573,696 | 292,963 | 492,600 | |||||||||
Japan |
368,095 | 308,189 | 405,371 | |||||||||
Europe |
292,432 | 244,038 | 423,148 | |||||||||
|
|
|
|
|
|
|||||||
Total revenue |
$ | 3,598,916 | $ | 2,665,192 | $ | 3,237,693 | ||||||
|
|
|
|
|
|
Long-Lived Assets
Refer to Note 18 of our Consolidated Financial Statements, included in Item 15 of this report, for information concerning the geographic locations of long-lived assets.
10
Customers
Our customers include many of the worlds leading semiconductor manufacturers. Customers continue to establish joint ventures, alliances and licensing arrangements which have the potential to positively or negatively impact our competitive position and market opportunities. In fiscal year 2013, three customers, Samsung Electronics Company, Ltd., SK Hynix Inc., and Taiwan Semiconductor Manufacturing Company, Ltd., combined represented approximately 46% of total revenues and each customer individually represented greater than 10% of total revenues. In fiscal year 2012, the same three customers combined represented approximately 50% of total revenues and each customer individually represented greater than 10% of total revenues. In fiscal year 2011, Samsung Electronics Company, Ltd. represented approximately 24% of total revenues.
A material reduction in orders from our customers could adversely affect our results of operations and projected financial condition. Our business depends upon the expenditures of semiconductor manufacturers. Semiconductor manufacturers businesses, in turn, depend on many factors, including their economic capability, the current and anticipated market demand for integrated circuits and the availability of equipment capacity to support that demand.
Backlog
In general, we schedule production of our systems based upon our customers delivery requirements. In order for a system to be included in our backlog, the following conditions must be met: 1) we have received a written customer request that has been accepted, 2) we have an agreement on prices and product specifications, and 3) there is a scheduled shipment within the next 12 months. In order for spares and services to be included in our backlog, the following conditions must be met: 1) we have received a written customer request that has been accepted and (2) delivery of products or provision of services is anticipated within the next 12 months. Where specific spare parts and customer service purchase contracts do not contain discrete delivery dates, we use volume estimates at the contract price and over the contract period, not to exceed 12 months, in calculating backlog amounts. Our policy is to revise our backlog for order cancellations and to make adjustments to reflect, among other things, changes in spares volume estimates and customer delivery date changes. At June 30, 2013 and June 24, 2012, our backlog was approximately $764 million and $870 million, respectively. Generally, orders for our products and services are subject to cancellation by our customers with limited penalties. Because some orders are received and shipped in the same quarter and because customers may change delivery dates and cancel orders, our backlog at any particular date is not necessarily indicative of business volumes or actual revenue levels for succeeding periods.
Manufacturing
Our manufacturing operations consist mainly of assembling and testing components, sub-assemblies, and modules that are then integrated into finished systems prior to shipment to or at the location of our customers. Most of the assembly and testing of our products is conducted in cleanroom environments.
We have agreements with third parties to outsource certain aspects of our manufacturing, production warehousing, and logistics functions. We believe that these outsourcing contracts provide us more flexibility to scale our operations up or down in a timely and cost effective manner, enabling us to respond to the cyclical nature of our business. We believe that we have selected reputable providers and have secured their performance on terms documented in written contracts. However, it is possible that one or more of these providers could fail to perform as we expect, and such failure could have an adverse impact on our business and have a negative effect on our operating results and financial condition. Overall, we believe we have effective mechanisms to manage risks associated with our outsourcing relationships. Refer to Note 14 of our Consolidated Financial Statements, included in Item 15 of this report, for further information concerning our outsourcing commitments.
Certain components and sub-assemblies that we include in our products may only be obtained from a single supplier. We believe that, in many cases, we could obtain and qualify alternative sources to supply these
11
products. Nevertheless, any prolonged inability to obtain these components could have an adverse effect on our operating results and could unfavorably impact our customer relationships.
Environmental Matters
We are subject to a variety of governmental regulations related to the management of hazardous materials that we use in our business operations. We are currently not aware of any pending notices of violation, fines, lawsuits, or investigations arising from environmental matters that would have a material effect on our business. We believe that we are generally in compliance with these regulations and that we have obtained (or will obtain or are otherwise addressing) all necessary environmental permits to conduct our business. Nevertheless, the failure to comply with present or future regulations could result in fines being imposed on us, require us to suspend production or cease operations or cause our customers to not accept our products. These regulations could require us to alter our current operations, to acquire significant additional equipment, or to incur substantial other expenses to comply with environmental regulations. Our failure to control the use, sale, transport or disposal of hazardous substances could subject us to future liabilities.
Employees
As of August 20, 2013, we had approximately 6,600 regular employees. Although we have employment-related agreements with a number of key employees, these agreements do not guarantee continued service. Each of our employees is required to comply with our policies relating to maintaining the confidentiality of our non-public information.
In the semiconductor and semiconductor equipment industries, competition for highly skilled employees is intense. Our future success depends, to a significant extent, upon our continued ability to attract and retain qualified employees particularly in the R&D and customer support functions.
Competition
The semiconductor capital equipment industry is characterized by rapid change and is highly competitive throughout the world. To compete effectively, we invest significant financial resources to continue to strengthen and enhance our product and services portfolio and to maintain customer service and support locations globally. Semiconductor manufacturers evaluate capital equipment suppliers in many areas, including, but not limited to, process performance, productivity, customer support, defect control, and overall cost of ownership, which can be affected by many factors such as equipment design, reliability, software advancements, and similar factors. Our ability to succeed in the marketplace depends upon our ability to maintain existing products and introduce product enhancements and new products that meet customer requirements on a timely basis. In addition, semiconductor manufacturers must make a substantial investment to qualify and integrate new capital equipment into semiconductor production lines. As a result, once a semiconductor manufacturer has selected a particular suppliers equipment and qualified it for production, the manufacturer generally maintains that selection for that specific production application and technology node as long as the suppliers products demonstrate performance to specification in the installed base. Accordingly, we may experience difficulty in selling to a given customer if that customer has qualified a competitors equipment. We must also continue to meet the expectations of our installed base of customers through the delivery of high-quality and cost-efficient spare parts in the presence of third-party spare parts provider competition.
We face significant competition with all of our products and services. Our primary competitors in the etch market are Tokyo Electron, Ltd. and Applied Materials, Inc. Our primary competitors in the single-wafer wet clean market are Dainippon Screen Manufacturing Co. Ltd. and Tokyo Electron, Ltd. In the tungsten CVD, PECVD, HDP-CVD, ECD and PVD markets, our primary competitor is Applied Materials, Inc. In the PECVD market, we also compete against ASM International. Our primary competitors in the surface preparation product arena are Mattson Technologies, Inc. and PSK, Inc.
12
Certain of our existing and potential competitors have substantially greater financial resources and larger engineering, manufacturing, marketing, and customer service and support organizations than we do. In addition, we face competition from a number of emerging companies in the industry. We expect our competitors to continue to improve the design and performance of their current products and processes and to introduce new products and processes with enhanced price/performance characteristics. If our competitors make acquisitions or enter into strategic relationships with leading semiconductor manufacturers, or other entities, covering products similar to those we sell, our ability to sell our products to those customers could be adversely affected. There can be no assurance that we will continue to compete successfully in the future.
Patents and Licenses
Our policy is to seek patents on inventions relating to new or enhanced products and processes developed as part of our ongoing research, engineering, manufacturing, and support activities. We currently hold a number of United States and foreign patents covering various aspects of our products and processes. We believe that the duration of our patents generally exceeds the useful life of the technologies and processes disclosed and claimed in them. Our patents, which cover material aspects of our past and present core products, have current durations ranging from approximately one to twenty years. We believe that, although the patents we own and may obtain in the future will be of value, they alone will not determine our success. Our success depends principally upon our engineering, marketing, support, and delivery skills. However, in the absence of patent protection, we may be vulnerable to competitors who attempt to imitate our products, manufacturing techniques, and processes. In addition, other companies and inventors may receive patents that contain claims applicable or similar to our products and processes. The sale of products covered by patents of others could require licenses that may not be available on terms acceptable to us, or at all. For further discussion of legal matters, see Item 3, Legal Proceedings, of this report.
EXECUTIVE OFFICERS OF THE COMPANY
As of August 27, 2013, the executive officers of Lam Research were as follows:
Name |
Age |
Title | ||
Martin B. Anstice |
46 | President and Chief Executive Officer | ||
Timothy M. Archer |
46 | Executive Vice President and Chief Operating Officer | ||
Douglas R. Bettinger |
46 | Executive Vice President, Chief Financial Officer and Chief Accounting Officer | ||
Richard A. Gottscho |
61 | Executive Vice President, Global Products Group | ||
Sarah A. O'Dowd |
63 | Senior Vice President, Chief Legal Officer |
Martin B. Anstice is President and Chief Executive Officer of Lam Research. Mr. Anstice joined the Company in April 2001 as Senior Director, Operations Controller. He was promoted to Chief Financial Officer in June 2004, appointed Executive Vice President and Chief Operating Officer in September 2008, and promoted to President in December 2010. In January 2012, Mr. Anstice was appointed Chief Executive Officer and in February 2012, appointed to the Lam Research Corporation board of directors. He began his career at Raychem Corporation where, during his 13-year tenure, he held numerous finance roles of increasing responsibility in Europe and North America. After Tyco International, Ltd. acquired Raychem in 1999, Mr. Anstice assumed responsibility for supporting mergers and acquisitions at Tyco Electronics Corporation. Mr. Anstice is an associate member of the Chartered Institute of Management Accountants in the United Kingdom.
Timothy M. Archer joined Lam Research in June 2012 as the Companys Executive Vice President, Chief Operating Officer. Prior to Lam Research, Mr. Archer spent 18 years at Novellus Systems in various technology development and business leadership roles, including most recently as Chief Operating Officer from January 2011 to June 2012, Executive Vice President Worldwide Sales, Marketing, and Customer Satisfaction from September 2009 to January 2011, and Executive Vice President of the PECVD and Electrofill Business Units from November 2008 to September 2009. Mr. Archers tenure at Novellus Systems also included assignments as
13
Senior Director of Technology for Novellus Systems Japan from 1999 to 2001 and Senior Director of Technology for the Electrofill Business Unit from April 2001 to April 2002. Mr. Archer started his career in 1989 at Tektronix where he was responsible for process development for high-speed bipolar integrated circuits. Mr. Archer completed the Program for Management Development at Harvard Graduate School of Business and holds a Bachelor of Science degree in Applied Physics from the California Institute of Technology.
Douglas R. Bettinger is Executive Vice President, Chief Financial Officer of Lam Research. Prior to joining the company, Mr. Bettinger served as Senior Vice President and Chief Financial Officer of Avago Technologies from August 2008 to February 2013. From 2007 to 2008, he served as Vice President of Finance and Corporate Controller at Xilinx, Inc., and from 2004 to 2007, he was Chief Financial Cfficer at 24/7 Customer, a privately held company. Mr. Bettinger worked at Intel Corporation from 1993 to 2004, where he held several senior-level finance and manufacturing operations positions, including Corporate Planning and Reporting Controller and Malaysia Site Operations Controller. He earned a masters degree in business administration in finance from the University of Michigan and has a bachelor of science degree in economics from the University of Wisconsin in Madison.
Richard A. Gottscho is the Companys Executive Vice President, Global Products Group, a position he has held since August 2010. Prior to that time, he had been Group Vice President and General Manager, Etch Businesses since March 2007. Dr. Gottscho joined the Company in January 1996 and has served at various Director and Vice President levels in support of etch products, CVD products, and corporate research. Prior to joining Lam Research, Dr. Gottscho was a member of Bell Laboratories for 15 years where he started his career working in plasma processing. During his tenure at Bell, he headed research departments in electronics materials, electronics packaging, and flat panel displays. Dr. Gottscho is the author of numerous papers, patents, and lectures in plasma processing and process control. He is a recipient of the American Vacuum Societys Peter Mark Memorial Award and Plasma Science and Technology Division Prize, the Gaseous Electronics Conference Foundation Lecturer, the Dry Process Symposium Nishizawa Award, and the Tegal Thinker Award. He is a fellow of the American Physical and American Vacuum Societies and has served on numerous editorial boards of refereed technical publications, program committees for major conferences in plasma science and engineering, and was vice-chair of a National Research Council study on plasma science in the 1980s. Dr. Gottscho earned Ph.D. and B.S. degrees in physical chemistry from the Massachusetts Institute of Technology and the Pennsylvania State University, respectively.
Sarah A. ODowd joined Lam Research in September 2008 as Group Vice President and Chief Legal Officer, responsible for general legal matters, intellectual property and ethics & compliance. In addition to her Legal function, in April 2009 she was appointed Vice President of Human Resources and served in this dual capacity from April 2009 through May 2012. Prior to joining Lam Research, Ms. ODowd was Vice President and General Counsel for FibroGen, Inc. from February 2007 until September 2008. Until February 2007, Ms. ODowd was a shareholder in the law firm of Heller Ehrman LLP for more than twenty years, practicing in the areas of corporate securities, governance and mergers and acquisitions for a variety of clients, principally publicly traded high technology companies. She served in a variety of leadership and management roles at Heller Ehrman, including Managing Partner of the Silicon Valley and San Diego offices, member of the firms Policy Committee and, as head of the firms business practice groups, a member of the firms Executive Committee. Ms. ODowd earned her J.D. and M.A. in communications from Stanford University and her bachelor of arts degree in mathematics from Immaculata College.
Item 1A. | Risk Factors |
In addition to the other information in this 2013 Form 10-K, the following risk factors should be carefully considered in evaluating the Company and its business because such factors may significantly impact our business, operating results, and financial condition. As a result of these risk factors, as well as other risks discussed in our other SEC filings, our actual results could differ materially from those projected in any forward-looking statements. No priority or significance is intended, nor should be attached, to the order in which the risk factors appear.
14
The Semiconductor Equipment Industry is Subject to Major Fluctuations and, as a Result, We Face Risks Related to Our Strategic Resource Allocation Decisions
The business cycle in the semiconductor equipment industry has historically been characterized by frequent periods of rapid change in demand that challenge our management to adjust spending and other resources allocated to operating activities. During periods of rapid growth or decline in demand for our products and services, we face significant challenges in maintaining adequate financial and business controls, management processes, information systems, procedures for training and managing our work force, and in appropriately sizing our supply chain infrastructure, work force, and other components of our business on a timely basis. If we do not adequately meet these challenges during periods of demand decline, our gross margins and earnings may be negatively impacted.
We continuously reassess our strategic resource allocation choices in response to the changing business environment. If we do not adequately adapt to the changing business environment, we may lack the infrastructure and resources to scale up our business to meet customer expectations and compete successfully during a period of growth, or we may expand our capacity too rapidly and/or beyond what is appropriate for the actual demand environment.
Especially during transitional periods, resource allocation decisions can have a significant impact on our future performance, particularly if we have not accurately anticipated industry changes. Our success will depend, to a significant extent, on the ability of our executive officers and other members of our senior management to identify and respond to these challenges effectively.
Future Declines in the Semiconductor Industry, and the Overall World Economic Conditions on Which it is Significantly Dependent, Could Have a Material Adverse Impact on Our Results of Operations and Financial Condition
Our business depends on the capital equipment expenditures of semiconductor manufacturers, which in turn depend on the current and anticipated market demand for integrated circuits. The semiconductor industry is cyclical in nature and experiences periodic downturns. Global economic and business conditions, which are often unpredictable, have historically impacted customer demand for our products and normal commercial relationships with our customers, suppliers, and creditors. Additionally, in times of economic uncertainty our customers budgets for our products, or their ability to access credit to purchase them, could be adversely affected. This would limit their ability to purchase our products and services. As a result, economic downturns can cause material adverse changes to our results of operations and financial condition including, but not limited to:
| a decline in demand for our products or services; |
| an increase in reserves on accounts receivable due to our customers inability to pay us; |
| an increase in reserves on inventory balances due to excess or obsolete inventory as a result of our inability to sell such inventory; |
| valuation allowances on deferred tax assets; |
| restructuring charges; |
| asset impairments including the potential impairment of goodwill and other intangible assets; |
| a decline in the value of our investments; |
| exposure to claims from our suppliers for payment on inventory that is ordered in anticipation of customer purchases that do not come to fruition; |
| a decline in the value of certain facilities we lease to less than our residual value guarantee with the lessor; and |
| challenges maintaining reliable and uninterrupted sources of supply. |
15
Fluctuating levels of investment by semiconductor manufacturers may materially affect our aggregate shipments, revenues and operating results. Where appropriate, we will attempt to respond to these fluctuations with cost management programs aimed at aligning our expenditures with anticipated revenue streams, which sometimes result in restructuring charges. Even during periods of reduced revenues, we must continue to invest in research and development (R&D) and maintain extensive ongoing worldwide customer service and support capabilities to remain competitive, which may temporarily harm our profitability and other financial results.
Our Long-term Success, Results of Operations and the Value of Our Common Stock Depend on Our Ability to Successfully Combine the Novellus Business With Our Pre-existing Business, Which May Be More Difficult, Costly or Time-consuming Than Expected
On June 4, 2012, we acquired Novellus, and we are currently combining Novellus business with our pre-existing business. Our future success, results of operations and the value of our common stock depend, in part, on our ability to realize the anticipated benefits of the acquisition. To realize these anticipated benefits, we must successfully combine our businesses in an efficient and effective manner and communicate the impact that a business combination will have on our financial statements. If we are not able to achieve and clearly communicate these objectives within the anticipated time frame, or at all, the anticipated benefits and cost savings of the acquisition may not be realized fully, or at all, or may take longer than expected to realize, and our results of operations and the value of our common stock may be adversely affected.
Specific issues that must be addressed in integrating the operations of Novellus into our pre-existing operations in order to realize the anticipated benefits of the acquisition include, among other things:
| integrating and optimizing the utilization of the properties, equipment, suppliers, distribution channels, manufacturing, service, marketing, promotion and sales activities and information technologies of the combined company; |
| consolidating corporate and administrative infrastructures of the combined company; |
| coordinating geographically dispersed organizations of the combined company; |
| retaining and growing business at existing customers and attracting new customers to the combined company; |
| managing our contractual and business relationships with common suppliers and customers to reduce inconsistent or inefficient effects; |
| retaining key employees and utilizing their technical knowledge and business expertise; |
| communicating the inherently complex factors that a business combination will have on our financial position and results of operations; and |
| conforming standards, controls, procedures, policies, business cultures and compensation structures throughout the combined company. |
In addition, integration efforts will also divert management attention and resources, the actual integration may result in additional and unforeseen expenses, and the anticipated benefits of the integration plan may not be realized. Actual synergies, if achieved at all, may be lower than what we expect and may take longer to achieve than anticipated. If we are not able to adequately address these challenges, we may be unable to successfully integrate the combined companys operations or to realize the anticipated benefits of the acquisition.
Our Quarterly Revenues and Operating Results Are Unpredictable
Our revenues and operating results may fluctuate significantly from quarter to quarter due to a number of factors, not all of which are in our control. We manage our expense levels based in part on our expectations of future revenues. Because our operating expenses are based in part on anticipated future revenues, and a certain
16
amount of those expenses are relatively fixed, a change in the timing of recognition of revenue and/or the level of gross profit from a small number of transactions can unfavorably affect operating results in a particular quarter. Factors that may cause our financial results to fluctuate unpredictably include, but are not limited to:
| economic conditions in the electronics and semiconductor industries in general and specifically the semiconductor equipment industry; |
| the size and timing of orders from customers; |
| procurement shortages; |
| the failure of our suppliers or outsource providers to perform their obligations in a manner consistent with our expectations; |
| manufacturing difficulties; |
| customer cancellations or delays in shipments, installations, and/or customer acceptances; |
| the extent that customers continue to purchase and use our products and services in their business; |
| changes in average selling prices, customer mix, and product mix; |
| our ability in a timely manner to develop, introduce and market new, enhanced, and competitive products; |
| our competitors introduction of new products; |
| legal or technical challenges to our products and technology; |
| transportation, communication, demand, information technology or supply disruptions based on factors outside our control such as strikes, acts of God, wars, terrorist activities, and natural disasters; |
| legal, tax, accounting, or regulatory changes (including but not limited to change in import/export regulations) or changes in the interpretation or enforcement of existing requirements; |
| changes in our estimated effective tax rate; |
| foreign currency exchange rate fluctuations; and |
| the dilutive impact of our convertible notes and related warrants on our earnings per share. |
Our Leverage and Debt Service Obligations and Potential Note Conversion or Related Hedging Activities May Adversely Affect Our Financial Condition, Results of Operations and Earnings Per Share
As a result of the sale of our 2016 and 2018 convertible notes and the assumption of the 2041 convertible notes in connection with the Novellus acquisition (collectively the Notes), we have a greater amount of debt than we have maintained in the past. Our maintenance of higher levels of indebtedness could have adverse consequences including:
| impacting our ability to satisfy our obligations; |
| increasing the portion of our cash flows that may have to be dedicated to interest and principal payments and may not be available for operations, working capital, capital expenditures, expansion, acquisitions or general corporate or other purposes; and |
| impairing our ability to obtain additional financing in the future. |
Our ability to meet our expenses and debt obligations will depend on our future performance, which will be affected by financial, business, economic, regulatory and other factors. Furthermore, our operations may not generate sufficient cash flows to enable us to meet our expenses and service our debt. As a result, we may need to enter into new financing arrangements to obtain the necessary funds. If we determine it is necessary to seek additional funding for any reason, we may not be able to obtain such funding or, if funding is available, obtain it
17
on acceptable terms. If we fail to make a payment on our debt, we could be in default on such debt, and this default could cause us to be in default on our other outstanding indebtedness.
Conversion of our Notes may cause dilution to our shareholders and to our earnings per share. Upon conversion of any Notes, we will deliver cash in the amount of the principal amount of the Notes and, with respect to any excess conversion value greater than the principal amount of the Notes, shares of our common stock, which would result in dilution to our shareholders. This dilution may be mitigated to some extent by the hedging transactions we entered into in connection with the sale of the 2016 and 2018 Notes. Prior to the maturity of the Notes, if the price of our common stock exceeds the conversion price, U.S. GAAP requires that we report an increase in diluted share count, which would result in lower reported earnings per share. The price of our common stock could also be affected by sales of our common stock by investors who view the Notes as a more attractive means of equity participation in our company and by hedging activity that may develop involving our common stock by holders of the Notes.
We Have a Limited Number of Key Customers
Sales to a limited number of large customers constitute a significant portion of our overall revenue, shipments and profitability. As a result, the actions of even one customer may subject us to variability in those areas that are difficult to predict. In addition, large customers may be able to negotiate requirements that result in decreased pricing, increased costs and/or lower margins for us, such as regional manufacturing expectations, compliance to specific environmental, social and corporate governance standards, and limitations on our ability to share jointly developed technology with others. Similarly, significant portions of our credit risk may, at any given time, be concentrated among a limited number of customers, so that the failure of even one of these key customers to pay its obligations to us could significantly impact our financial results. As of June 30, 2013, two customers accounted for approximately 22% and 14% of accounts receivable. As of June 24, 2012, three customers accounted for approximately 24%, 17%, and 11% of accounts receivable.
We Depend on New Products and Processes for Our Success. Consequently, We are Subject to Risks Associated with Rapid Technological Change
Rapid technological changes in semiconductor manufacturing processes subject us to increased pressure to develop technological advances that enable those processes. We believe that our future success depends in part upon our ability to develop and offer new products with improved capabilities and to continue to enhance our existing products. If new products have reliability, quality, or design problems, our performance may be impacted by reduced orders, higher manufacturing costs, delays in acceptance of and payment for new products, and additional service and warranty expenses. We may be unable to develop and manufacture new products successfully, or new products that we introduce may fail in the marketplace. The expected industry transition to a 450mm platform represents an emerging challenge for our business, and our failure to address that transition in a timely manner with productive and cost-effective products could adversely affect our business in a material way. Our failure to commercialize new products in a timely manner could result in loss of market share, unanticipated costs, and inventory obsolescence, which would adversely affect our financial results.
In order to develop new products and processes, we expect to continue to make significant investments in R&D and to pursue joint development relationships with customers, suppliers or other members of the industry. We must manage product transitions and joint development relationships successfully, as the introduction of new products could adversely affect our sales of existing products and certain jointly developed technologies may be subject to restrictions on our ability to share that technology with other customers, which could limit our market for products incorporating those technologies. Future technologies, processes or product developments may render our current product offerings obsolete, leaving us with non-competitive products, or obsolete inventory, or both. Moreover, customers may adopt new technologies or processes to address the complex challenges associated with next generation devices. This shift may result in a reduction in the size of our addressable
18
markets or could increase the relative size of markets in which we either do not compete or have relatively low market share.
We are Subject to Risks Relating to Product Concentration and Lack of Product Revenue Diversification
We derive a substantial percentage of our revenues from a limited number of products. System sales constitute a significant portion of our total revenue. Our systems are priced up to approximately $9 million per unit, and our revenues in any given quarter are dependent upon the acceptance of a limited number of systems. As a result, the inability to recognize revenue on even a few systems can cause a significantly adverse impact on our revenues for a given quarter, and, in the longer term, the continued market acceptance of these products is critical to our future success. Our business, operating results, financial condition, and cash flows could therefore be adversely affected by:
| a decline in demand for even a limited number of our products; |
| a failure to achieve continued market acceptance of our key products; |
| export restrictions or other regulatory or legislative actions that could limit our ability to sell those products to key customer or market segments; |
| an improved version of products being offered by a competitor in the market in which we participate; |
| increased pressure from competitors that offer broader product lines; |
| technological changes that we are unable to address with our products; or |
| a failure to release new or enhanced versions of our products on a timely basis. |
In addition, the fact that we offer limited product lines creates the risk that our customers may view us as less important to their business than our competitors that offer additional products as well. This may impact our ability to maintain or expand our business with certain customers. Such product concentration may also subject us to additional risks associated with technology changes. Our business is affected by our customers use of our products in certain steps in their wafer fabrication processes. Should technologies change so that the manufacture of semiconductors requires fewer steps using our products, this could have a larger impact on our business than it would on the business of our less concentrated competitors.
Strategic Alliances and Potential Customer Consolidation May Have Negative Effects on Our Business
Increasingly, semiconductor manufacturing companies are entering into strategic alliances or consolidating with one another to expedite the development of processes and other manufacturing technologies and/or achieve economies of scale. The outcomes of such an alliance can be the definition of a particular tool set for a certain function and/or the standardization of a series of process steps that use a specific set of manufacturing equipment; while the outcomes of consolidation can lead to an overall reduction in the market for semiconductor manufacturing equipment as customers operations achieve economies of scale and/or increased purchasing power based on their higher volumes. While in certain instances this could work to our advantage, if our equipment becomes the basis for the function or process as the tool of choice for the larger consolidated customer or alliance, it could also work to our disadvantage if a competitors tools or equipment become the standard equipment for such functions or processes.
Similarly, our customers may team with, or follow the lead of, educational or research institutions that establish processes for accomplishing various tasks or manufacturing steps. If those institutions utilize a competitors equipment when they establish those processes, it is likely that customers will tend to use the same equipment in setting up their own manufacturing lines. Even if they select Lam equipment, the institutions and the customers that follow their lead could impose conditions on acceptance of that equipment, such as adherence to standards and requirements or limitations on how we license our proprietary rights, that increase our costs or require us to take on greater risk. These actions could adversely impact our market share and financial results.
19
We Depend On a Limited Number of Key Suppliers and Outsource Providers, and We Run the Risk That They Might Not Perform as We Expect
Outsource providers and component suppliers have played and will continue to play a key role in our manufacturing operations and in many of our transactional and administrative functions, such as information technology, facilities management, and certain elements of our finance organization. These providers and suppliers might suffer financial setbacks, be acquired by third parties, become subject to exclusivity arrangements that preclude further business with us or suffer force majeure events that could interrupt or impair their continued ability to perform as we expect.
Although we attempt to select reputable providers and suppliers, and we attempt to secure their performance on terms documented in written contracts, it is possible that one or more of these providers or suppliers could fail to perform as we expect, and such failure could have an adverse impact on our business. In some cases, the requirements of our business mandate that we obtain certain components and sub-assemblies included in our products from a single supplier or a limited group of suppliers. Where practical, we endeavor to establish alternative sources to mitigate the risk that the failure of any single provider or supplier will adversely affect our business, but this is not feasible in all circumstances. There is therefore a risk that a prolonged inability to obtain certain components or secure key services could impair our ability to manage operations, ship products and generate revenues, which could adversely affect our operating results and damage our customer relationships.
We Face Risks Related to the Disruption of Our Primary Manufacturing Facilities
Our manufacturing facilities are concentrated in just a few locations. These locations are subject to disruption for a variety of reasons such as natural disasters, terrorist attacks, disruptions of our information technology resources and utility interruptions. Such disruptions may cause delays in shipping our products which could result in the loss of business or customer trust, adversely affecting our business and operating results.
Once a Semiconductor Manufacturer Commits to Purchase a Competitors Semiconductor Manufacturing Equipment, the Manufacturer Typically Continues to Purchase that Competitors Equipment, Making it More Difficult for Us to Sell Our Equipment to that Customer
Semiconductor manufacturers must make a substantial investment to qualify and integrate wafer processing equipment into a semiconductor production line. We believe that once a semiconductor manufacturer selects a particular suppliers processing equipment, the manufacturer generally relies upon that equipment for that specific production line application for an extended period of time. Accordingly, we expect it to be more difficult to sell our products to a given customer if that customer initially selects a competitors equipment for the same product line application.
We Face a Challenging and Complex Competitive Environment
We face significant competition from multiple competitors. Other companies continue to develop systems and products that are competitive to ours and may introduce new products, which may affect our ability to sell our existing products. We face a greater risk if our competitors enter into strategic relationships with leading semiconductor manufacturers covering products similar to those we sell or may develop, as this could adversely affect our ability to sell products to those manufacturers.
We believe that to remain competitive we must devote significant financial resources to offer a broad range of products, to maintain customer service and support centers worldwide, and to invest in product and process R&D. Certain of our competitors, especially those that are created and financially backed by foreign governments, have substantially greater financial resources and more extensive engineering, manufacturing, marketing, and customer service and support resources than we do and therefore have the potential to increasingly dominate the semiconductor equipment industry. These competitors may deeply discount or give
20
away products similar to those that we sell, challenging or even exceeding our ability to make similar accommodations and threatening our ability to sell those products. We also face competition from our own customers, who in some instances have established affiliated entities that manufacture equipment similar to ours. For these reasons, we may fail to continue to compete successfully worldwide.
In addition, our competitors may be able to develop products comparable or superior to those we offer or may adapt more quickly to new technologies or evolving customer requirements. In particular, while we continue to develop product enhancements that we believe will address future customer requirements, we may fail in a timely manner to complete the development or introduction of these additional product enhancements successfully, or these product enhancements may not achieve market acceptance or be competitive. Accordingly, competition may intensify, and we may be unable to continue to compete successfully in our markets, which could have a material adverse effect on our revenues, operating results, financial condition, and/or cash flows.
Our Future Success Depends Heavily on International Sales and the Management of Global Operations
Non-U.S. sales accounted for approximately 80% of total revenue in fiscal year 2013, 83% of total revenue in fiscal year 2012, and 88% of total revenue in fiscal year 2011. We expect that international sales will continue to account for a substantial majority of our total revenue in future years.
We are subject to various challenges related to international sales and the management of global operations including, but not limited to:
| trade balance issues; |
| global economic and political conditions, including the ongoing macroeconomic challenges associated with sovereign debt levels in certain euro-zone countries and the financial contagion to global markets; |
| changes in currency controls; |
| differences in the enforcement of intellectual property and contract rights in varying jurisdictions; |
| our ability to respond to customer and foreign government demands for locally sourced systems, spare parts and services and develop the necessary relationships with local suppliers; |
| compliance with U.S. and international laws and regulations affecting foreign operations, including U.S. and international export restrictions and foreign labor laws; |
| fluctuations in interest and foreign currency exchange rates; |
| our ability to repatriate cash in a tax-efficient manner; |
| the need for technical support resources in different locations; and |
| our ability to secure and retain qualified people in all necessary locations for the successful operation of our business. |
Certain international sales depend on our ability to obtain export licenses from the U.S. government. Our failure or inability to obtain such licenses would substantially limit our markets and severely restrict our revenues. Many of the challenges noted above are applicable in China, which is a fast developing market for the semiconductor equipment industry and therefore an area of potential significant growth for our business. As the business volume between China and the rest of the world grows, there is inherent risk, based on the complex relationships among China, Taiwan, Japan, South Korea, and the United States, that political and diplomatic influences might lead to trade disruptions. This would adversely affect our business with China, Taiwan, Japan, and/or South Korea and perhaps the entire Asia Pacific region. A significant trade disruption in these areas could have a materially adverse impact on our future revenue and profits.
21
We are potentially exposed to adverse as well as beneficial movements in foreign currency exchange rates. The majority of our sales and expenses are denominated in U.S. dollars. However, we are exposed to foreign currency exchange rate fluctuations primarily related to revenues denominated in Japanese yen and expenses denominated in euro. Currently, we enter into foreign currency forward contracts to minimize the short-term impact of the foreign currency exchange rate fluctuations on certain foreign currency monetary assets and liabilities, primarily third party accounts receivables, accounts payables and intercompany receivables and payables. In addition, we hedge certain anticipated foreign currency cash flows, primarily anticipated revenues denominated in Japanese yen and euro-denominated expenses. We believe these are our primary exposures to currency rate fluctuation. We expect to continue to enter into hedging transactions, for the purposes outlined, for the foreseeable future. However, these hedging transactions may not achieve their desired effect because differences between the actual timing of the underlying exposures and our forecasts of those exposures may leave us either over-or under-hedged on any given transaction. Moreover, by hedging these foreign currency denominated revenues, expenses, monetary assets and liabilities with foreign currency forward contracts, we may miss favorable currency trends that would have been advantageous to us but for the hedges. Additionally, we are exposed to short-term foreign currency exchange rate fluctuations on non-U.S. dollar-denominated monetary assets and liabilities (other than those currency exposures previously discussed) and currently we do not enter into foreign currency hedge contracts against these exposures. Therefore, we are subject to both favorable and unfavorable foreign currency exchange rate fluctuations to the extent that we transact business (including intercompany transactions) for these currencies.
The magnitude of our overseas business also affects where our cash is generated. Certain uses of cash, such as share repurchases or the repayment of our convertible notes, can usually only be made with cash balances and cash generated on-shore. Since the majority of our cash is generated outside of the United States, this may limit certain business decisions and adversely affect business outcomes.
Our Ability to Attract, Retain and Motivate Key Employees Is Critical to Our Success
Our ability to compete successfully depends in large part on our ability to attract, retain and motivate key employees. This is an ongoing challenge due to intense competition for top talent, as well as fluctuations in industry economic conditions that may require cycles of hiring activity and workforce reductions. Our success in hiring depends on a variety of factors, including the attractiveness of our compensation and benefit programs and our ability to offer a challenging and rewarding work environment. We periodically evaluate our overall compensation programs and make adjustments, as appropriate, to maintain or enhance their competitiveness. If we are not able to successfully attract, retain and motivate key employees, we may be unable to capitalize on market opportunities and our operating results may be materially and adversely affected.
We Rely Upon Certain Critical Information Systems for the Operation of Our Business
We maintain and rely upon certain critical information systems for the effective operation of our business. These information systems include telecommunications, the internet, our corporate intranet, various computer hardware and software applications, network communications, and e-mail. These information systems may be owned and maintained by us, our outsource providers or third parties such as vendors and contractors. These information systems are subject to attacks, failures, and access denials from a number of potential sources including viruses, destructive or inadequate code, power failures, and physical damage to computers, hard drives, communication lines, and networking equipment. Confidential information stored on these information systems could be compromised. To the extent that these information systems are under our control, we have implemented security procedures, such as virus protection software and emergency recovery processes, to mitigate the outlined risks. However, security procedures for information systems cannot be guaranteed to be failsafe and our inability to use or access these information systems at critical points in time, or unauthorized releases of confidential information, could unfavorably impact the timely and efficient operation of our business.
22
In addition, we have recently merged our global enterprise system with the enterprise system used by Novellus Systems, Inc. prior to its acquisition by Lam. Combining these two systems was a complex process and there is possibility for error in the merger process. While we have exerted considerable efforts to ensure a fully operational system, should an error occur there could be a short term adverse effect on our ability to conduct business in an efficient manner.
Our Financial Results May be Adversely Impacted by Higher than Expected Tax Rates or Exposure to Additional Tax Liabilities
As a global company, our effective tax rate is highly dependent upon the geographic composition of worldwide earnings and tax regulations governing each region. We are subject to income taxes in the United States and various foreign jurisdictions, and significant judgment is required to determine worldwide tax liabilities. Our effective tax rate could be adversely affected by changes in the split of earnings between countries with differing statutory tax rates, in the valuation of deferred tax assets, in tax laws, by material audit assessments, or changes in or expirations of agreements with tax authorities. These factors could affect our profitability. In particular, the carrying value of deferred tax assets, which are predominantly in the United States, is dependent on our ability to generate future taxable income in the United States. In addition, the amount of income taxes we pay is subject to ongoing audits in various jurisdictions, and a material assessment by a governing tax authority could affect our profitability.
A Failure to Comply with Environmental Regulations May Adversely Affect Our Operating Results
We are subject to a variety of governmental regulations related to the handling, discharge, and disposal of toxic, volatile or otherwise hazardous chemicals. We believe that we are generally in compliance with these regulations and that we have obtained (or will obtain or are otherwise addressing the need for) all environmental permits necessary to conduct our business. These permits generally relate to the handling and disposal of hazardous wastes. Nevertheless, the failure to comply with present or future regulations could result in fines being imposed on us, require us to suspend production, or cease operations or cause our customers to not accept our products. These regulations could require us to alter our current operations, to acquire significant additional equipment or to incur substantial other expenses to comply with environmental regulations. Any failure to comply with regulations governing the use, handling, sale, transport or disposal of hazardous substances could subject us to future liabilities.
If We Choose to Acquire or Dispose of Product Lines and Technologies, We May Encounter Unforeseen Costs and Difficulties That Could Impair Our Financial Performance
An important element of our management strategy is to review acquisition prospects that would complement our existing products, augment our market coverage and distribution ability, or enhance our technological capabilities. As a result, we may make acquisitions of complementary companies, products or technologies, or we may reduce or dispose of certain product lines or technologies that no longer fit our long-term strategies. Managing an acquired business, disposing of product technologies or reducing personnel entail numerous operational and financial risks, including difficulties in assimilating acquired operations and new personnel or separating existing business or product groups, diversion of managements attention away from other business concerns, amortization of acquired intangible assets, adverse customer reaction to our decision to cease support for a product, and potential loss of key employees or customers of acquired or disposed operations. There can be no assurance that we will be able to achieve and manage successfully any such integration of potential acquisitions, disposition of product lines or technologies, or reduction in personnel or that our management, personnel, or systems will be adequate to support continued operations. Any such inabilities or inadequacies could have a material adverse effect on our business, operating results, financial condition, and cash flows.
In addition, any acquisition could result in changes such as potentially dilutive issuances of equity securities, the incurrence of debt and contingent liabilities, the amortization of related intangible assets, and
23
goodwill impairment charges, any of which could materially adversely affect our business, financial condition, and results of operations and/or the price of our Common Stock.
The Market for Our Common Stock is Volatile, Which May Affect Our Ability to Raise Capital, Make Acquisitions, or Subject Our Business to Additional Costs
The market price for our Common Stock is volatile and has fluctuated significantly over the past years. The trading price of our Common Stock could continue to be highly volatile and fluctuate widely in response to a variety of factors, many of which are not within our control or influence. These factors include but are not limited to the following:
| general market, semiconductor, or semiconductor equipment industry conditions; |
| economic or political events and trends occurring globally or in any of our key sales regions; |
| variations in our quarterly operating results and financial condition, including our liquidity; |
| variations in our revenues, earnings or other business and financial metrics from forecasts by us or securities analysts, or from those experienced by other companies in our industry; |
| announcements of restructurings, reductions in force, departure of key employees, and/or consolidations of operations; |
| government regulations; |
| developments in, or claims relating to, patent or other proprietary rights; |
| technological innovations and the introduction of new products by us or our competitors; |
| commercial success or failure of our new and existing products; |
| disruptions of relationships with key customers or suppliers; or |
| dilutive impacts of our Notes and related warrants. |
In addition, the stock market experiences significant price and volume fluctuations. Historically, we have witnessed significant volatility in the price of our Common Stock due in part to the actual or anticipated movement in interest rates and the price of and markets for semiconductors. These broad market and industry factors have and may again adversely affect the price of our Common Stock, regardless of our actual operating performance. In the past, following volatile periods in the price of their stock, many companies became the object of securities class action litigation. If we are sued in a securities class action, we could incur substantial costs, and it could divert managements attention and resources and have an unfavorable impact on our financial performance and the price for our Common Stock.
Intellectual Property, Indemnity and Other Claims Against Us Can be Costly and We Could Lose Significant Rights That are Necessary to Our Continued Business and Profitability
Third parties may assert infringement, unfair competition, product liability, breach of contract, or other claims against us. From time to time, other parties send us notices alleging that our products infringe their patent or other intellectual property rights. In addition, law enforcement authorities may seek criminal charges relating to intellectual property or other issues. We also face risks of claims arising from commercial and other relationships. In addition, our Bylaws and other indemnity obligations provide that we will indemnify officers and directors against losses that they may incur in legal proceedings resulting from their service to Lam Research. From time to time, in the normal course of business, we indemnify third parties with whom we enter into contractual relationships, including customers and suppliers, with respect to certain matters. We have agreed, under certain conditions, to hold these third parties harmless against specified losses, such as those arising from a breach of representations or covenants, other third party claims that our products when used for their intended
24
purposes infringe the intellectual property rights of such other third parties, or other claims made against certain parties. In such cases, it is our policy either to defend the claims or to negotiate licenses or other settlements on commercially reasonable terms. However, we may be unable in the future to negotiate necessary licenses or reach agreement on other settlements on commercially reasonable terms, or at all, and any litigation resulting from these claims by other parties may materially adversely affect our business and financial results, and we may be subject to substantial damage awards and penalties. Moreover, although we have insurance to protect us from certain claims and cover certain losses to our property, such insurance may not cover us for the full amount of any losses, or at all, and may be subject to substantial exclusions and deductibles.
We May Fail to Protect Our Critical Proprietary Technology Rights, Which Could Affect Our Business
Our success depends in part on our proprietary technology and our ability to protect key components of that technology through patents, copyrights and trade secret protection. Protecting our key proprietary technology helps us to achieve our goals of developing technological expertise and new products and systems that give us a competitive advantage; increasing market penetration and growth of our installed base; and providing comprehensive support and service to our customers. As part of our strategy to protect our technology we currently hold a number of United States and foreign patents and pending patent applications, and we keep certain information, processes and techniques as trade secrets. However, other parties may challenge or attempt to invalidate or circumvent any patents the United States or foreign governments issue to us, these governments may fail to issue patents for pending applications, or we may lose trade secret protection over valuable information due to the actions or omissions of third parties or even our own employees. Additionally, even when patents are issued or trade secret processes are followed, the legal systems in certain of the countries in which we do business do not enforce patents and other intellectual property rights as rigorously as the United States. The rights granted or anticipated under any of our patents, pending patent applications or trade secrets may be narrower than we expect or, in fact, provide no competitive advantages. Any of these circumstances could have a material adverse impact on our business.
We May Incur Impairments to Goodwill or Long Lived Assets
We review our long-lived assets, including goodwill and other intangible assets, for impairment annually or whenever events or changes in circumstances indicate that the carrying amount of these assets may not be recoverable. Negative industry or economic trends, including reduced market prices of our common stock, reduced estimates of future cash flows, disruptions to our business, slower growth rates, or lack of growth in our relevant business segments, could lead to impairment charges against our long-lived assets, including goodwill and other intangible assets. If, in any period, our stock price decreases to the point where our fair value, as determined by our market capitalization, is less than the book value of our assets, this could also indicate a potential impairment, and we may be required to record an impairment charge in that period, which could adversely affect our result of operations.
Our valuation methodology for assessing impairment requires management to make judgments and assumptions based on historical experience and to rely heavily on projections of future operating performance. We operate in a highly competitive environment and projections of future operating result and cash flows may vary significantly from actual results. Additionally, if our analysis indicates potential impairment to goodwill one or more of our business segments, we may be required to record additional charges to earnings in our financial statements, which could negatively affect our results of operations.
We Are Exposed to Various Risks from Our Regulatory Environment
We are subject to various risks related to (i) new, different, inconsistent or even conflicting laws, rules and regulations that may be enacted by legislative bodies and/or regulatory agencies in the countries that we operate; (ii) disagreements or disputes between national or regional regulatory agencies related to international trade; and (iii) the interpretation and application of laws, rules and regulations. As a public company with global operations,
25
we are subject to the laws of multiple jurisdictions and the rules and regulations of various governing bodies, including those related to financial and other disclosures, corporate governance, privacy, anti-corruption, such as the Foreign Corrupt Practices Act and other local laws prohibiting corrupt payments to governmental officials, and antitrust regulations, among others. One of these laws imposes new disclosure requirements regarding the use of certain minerals, which may have originated from the Democratic Republic of the Congo and adjoining countries in our products. This new requirement could affect the pricing, sourcing and availability of minerals used in the manufacture of components we use in our products. In addition, there will be additional costs associated with complying with the disclosure requirements, such as costs related to determining the source of any of the covered minerals used in our products. Our supply chain is complex, and we may be unable to verify the origins for all metals used in our products. Financial reform legislation and the regulations enacted under such legislation have also added costs to our business by, among other things, requiring advisory votes on executive compensation and on severance packages upon a change in control.
To maintain high standards of corporate governance and public disclosure, we intend to invest all reasonably necessary resources to comply with all evolving standards. Changes in or ambiguous interpretations of laws, regulations and standards may create uncertainty regarding compliance matters. Efforts to comply with new and changing regulations have resulted in, and are likely to continue to result in, increased general and administrative expenses and a diversion of managements time and attention from revenue generating activities to compliance activities. If we are found by a court or regulatory agency not to be in compliance with the laws and regulations, our business, financial condition, and results of operations could be adversely affected.
Item 1B. | Unresolved Staff Comments |
None.
Item 2. | Properties |
Our executive offices and principal operating and R&D facilities are located in Fremont, California, Livermore, California, San Jose, California, Tualatin, Oregon, and Villach, Austria. The Fremont and Livermore facilities are held under operating leases expiring in 2015 and the San Jose and Tualatin facilities are owned by the Company. Our Fremont and Livermore operating leases generally include options to renew or purchase the facilities. In addition, we lease or own properties for our service, technical support and sales personnel throughout the United States, Europe, Taiwan, Korea, Japan, and Asia Pacific and lease or own manufacturing facilities located in Eaton, Ohio, Rendsburg, Germany, Chandler, Arizona, and Des Plaines, Illinois. Our facilities lease obligations are subject to periodic increases. We believe that our existing facilities are well-maintained and in good operating condition.
Item 3. | Legal Proceedings |
The Company is either a defendant or plaintiff in various actions that have arisen from time to time in the normal course of business, including intellectual property claims. The Company accrues for a liability when it is both probable that a liability has been incurred and the amount of the loss can be reasonably estimated. Significant judgment is required in both the determination of probability and the determination as to whether a loss is reasonably estimable. These accruals are reviewed at least quarterly and adjusted to reflect the effects of negotiations, settlements, rulings, advice of legal counsel, and other information and events pertaining to a particular matter. To the extent there is a reasonable possibility that the losses could exceed the amounts already accrued, the Company believes that the amount of any such additional loss would be immaterial to the Companys business, financial condition, and results of operations.
Item 4. | Mine Safety Disclosures |
Not applicable.
26
Item 5. | Market for the Registrants Common Equity, Related Stockholder Matters and Issuer Purchases of Equity Securities |
Stock Information
Our Common Stock is traded on the NASDAQ Global Select Market under the symbol LRCX. As of August 20, 2013 we had 487 stockholders of record. In fiscal years 2013 and 2012 we did not declare or pay cash dividends to our stockholders. We currently have no plans to declare or pay cash dividends. The table below sets forth the high and low prices of our common stock as reported by The NASDAQ Stock Market LLC, for the period indicated:
2013 | ||||||||
High | Low | |||||||
First Quarter |
$ | 37.99 | $ | 31.93 | ||||
Second Quarter |
$ | 38.14 | $ | 31.17 | ||||
Third Quarter |
$ | 43.92 | $ | 35.32 | ||||
Fourth Quarter |
$ | 49.13 | $ | 39.94 | ||||
2012 | ||||||||
High | Low | |||||||
First Quarter |
$ | 46.27 | $ | 34.92 | ||||
Second Quarter |
$ | 45.48 | $ | 34.81 | ||||
Third Quarter |
$ | 45.04 | $ | 36.15 | ||||
Fourth Quarter |
$ | 45.29 | $ | 35.84 |
Repurchase of Company Shares
On December 14, 2011, the Board of Directors authorized the repurchase of up to $1.6 billion of Company common stock, which replaced the previous repurchase authorizations. The Company completed the repurchase of all amounts available under this share repurchase authorization during the year ended June 30, 2013.
On April 22, 2013, the Board of Directors authorized the repurchase of up to $250 million of Company common stock. These repurchases can be conducted on the open market or as private purchases and may include the use of derivative contracts with large financial institutions, in all cases subject to compliance with applicable law. Repurchases will be funded using the Companys on-shore cash and on-shore cash generation. This repurchase program has no termination date and may be suspended or discontinued at any time.
As part of its share repurchase program, the Company may from time-to-time enter into structured share repurchase arrangements with financial institutions using general corporate funds. Such arrangements entered into or settled during the year ended June 30, 2013 included the following:
Collared Accelerated Share Repurchases Settled During Current Fiscal Year
During the year ended June 24, 2012, the Company entered into two share repurchase transactions under one master repurchase arrangement. Under these collared accelerated share repurchase transactions (ASRs), the Company made up-front cash payments of $375 million and $200 million, respectively, three days after the respective trade date in exchange for an initial delivery of 6.6 million and 3.9 million shares of its common stock, respectively. The number of shares to ultimately be repurchased by the Company is based generally on the volume-weighted average price (VWAP) of the Companys common stock during the term of the ASR minus a pre-determined discount set at inception of the ASR, subject to collar provisions that provide a minimum and maximum number of shares that the Company could repurchase under the agreements.
27
The minimum and maximum thresholds for each transaction were established based on the average of the VWAP prices for the Companys common stock during an initial hedge period. The Company received incremental shares on top of the initial shares delivered such that the total number of shares received after the initial hedge period equaled 8.8 million and 4.8 million shares, equivalent to the minimum number of shares to be delivered under the terms of the ASRs, respectively. The ASRs were scheduled to end on or before September 18, 2012 and October 9, 2012, respectively. However, each ASR was subject to acceleration at the option of the counterparty at any time after June 27, 2012 and July 19, 2012, respectively. At the conclusion of the ASRs, the Company was to receive additional shares based on the VWAP of the Companys common stock during the term of the agreement minus the pre-determined fixed discount, such that the total number of shares received under the ASRs would not exceed the maximum of 10.8 million and 6.6 million shares, respectively.
The Company accounted for each ASR as two separate transactions: (a) as shares of common stock acquired in a treasury stock transaction recorded on the acquisition date and (b) as a forward contract indexed to the Companys own common stock and classified in stockholders equity. As such, the Company accounted for the shares that it received under the ASRs as a repurchase of its common stock for the purpose of calculating earnings per common share. The Company has determined that the forward contract indexed to the Companys common stock met all of the applicable criteria for equity classification in accordance with the Derivatives and Hedging topic of the FASB ASC, and, therefore, the ASRs were not accounted for as derivative instruments. As of June 24, 2012, the aggregate repurchase price of $575.0 million was reflected as Treasury stock, at cost, in the Consolidated Balance Sheet.
The counterparty to the $375 million ASR designated July 6, 2012 as the accelerated termination date, at which time the Company settled the ASR and received an additional 1.3 million shares of common stock in addition to the minimum shares already received, which represented a weighted average share price of approximately $36.80 for the transaction period. The counterparty to the $200 million ASR designated July 25, 2012 as the accelerated termination date, at which time the Company settled the ASR and received an additional 0.7 million shares of common stock in addition to the minimum shares already received, which represented a weighted average share price of approximately $36.12 for the transaction period.
Collared Accelerated Share Repurchases Executed During Current Fiscal Year
During the year ended June 30, 2013, the Company entered into a share repurchase transaction under the existing master repurchase arrangement. Under this ASR, the Company made an up-front cash payment of $86.4 million, in exchange for an initial delivery of 1.5 million shares of its common stock and a subsequent delivery of 0.4 million shares following the initial hedge period
As with the prior ASRs, the minimum and maximum thresholds for the transaction were established based on the average of the VWAP prices for the Companys common stock during an initial hedge period. The ASR was scheduled to end at any time after March 21, 2013 and on or before May 21, 2013. At the conclusion of the ASRs, the Company was to receive additional shares based on the VWAP of the Companys common stock during the term of the agreement minus the pre-determined fixed discount, such that the total number of shares received under this ASR would not exceed the maximum of 2.2 million shares.
The counterparty designated May 21, 2013 as the termination date, at which time the Company settled the ASR and received an additional 0.1 million shares of common stock in addition to the minimum shares already received, which represented a weighted average share price of approximately $42.71 for the transaction period.
As of June 30, 2013, the aggregate repurchase price of $86.4 million is reflected as Treasury stock, at cost, in the Consolidated Balance Sheet.
28
Share repurchases, including those under the repurchase program, were as follows:
Period |
Total Number
of Shares Repurchased (1) |
Average
Price Paid Per Share* |
Total Number of
Shares Purchased as Part of Publicly Announced Plans or Programs |
Amount
Available Under Repurchase Program |
||||||||||||
(in thousands, except per share data) | ||||||||||||||||
Amount available at June 24, 2012 |
$ | 911,933 | ||||||||||||||
Quarter ending September 23, 2012 |
12,098 | $ | 34.79 | 11,970 | $ | 567,932 | ||||||||||
Quarter ending December 23, 2012 |
10,384 | $ | 34.76 | 10,190 | $ | 213,903 | ||||||||||
Quarter ended March 31, 2013 |
5,533 | $ | 37.96 | 5,312 | $ | | ||||||||||
Authorization of $250 million - April 2013 |
$ | 250,000 | ||||||||||||||
April 1, 2013 - April 30, 2013 |
21 | $ | 41.93 | | $ | 250,000 | ||||||||||
May 1, 2013 - May 31, 2013 |
107 | $ | 47.11 | 90 | $ | 250,000 | ||||||||||
June 1, 2013 - June 30, 2013 |
14 | $ | 45.97 | | $ | 250,000 | ||||||||||
|
|
|
|
|||||||||||||
Total |
28,157 | $ | 35.28 | 27,562 | $ | 250,000 | ||||||||||
|
|
|
|
* | Average price paid per share excludes accelerated share repurchases for which cost was incurred in fiscal year 2012, but shares were received in fiscal year 2013 and for which costs were incurred in the quarter ended March 31, 2013, but for which final settlement of shares was not received until the quarter ended June 30, 2013. See Collared Accelerated Share Repurchases section above for details regarding average price associated with these transactions. |
(1) | In addition to shares repurchased under Board authorized repurchase programs, included in this column are 595,000 shares acquired at a total cost of $22.9 million which the Company withheld through net share settlements to cover tax withholding obligations upon the vesting of restricted stock unit awards granted under the Companys equity compensation plans. The shares retained by the Company through these net share settlements are not a part of the Board-authorized repurchase program but instead are authorized under the Companys equity compensation plans. |
29
Cumulative 5-year Return
The graph below compares Lam Research Corporations cumulative 5-year total shareholder return on common stock with the cumulative total returns of the NASDAQ Composite index and the Research Data Group, Incorporated (RDG) Semiconductor Composite index. The graph tracks the performance of a $100 investment in our common stock and in each of the indices (with the reinvestment of all dividends) from June 30, 2008 to June 30, 2013.
6/08 | 6/09 | 6/10 | 6/11 | 6/12 | 6/13 | |||||||||||||||||||
Lam Research Corporation (LRCX) |
100.00 | 71.92 | 105.28 | 122.49 | 104.40 | 122.66 | ||||||||||||||||||
NASDAQ Composite |
100.00 | 80.56 | 93.30 | 124.28 | 132.47 | 155.74 | ||||||||||||||||||
S&P 500 |
100.00 | 73.79 | 84.43 | 110.35 | 116.36 | 140.32 | ||||||||||||||||||
RDG Semiconductor Composite |
100.00 | 77.27 | 95.93 | 119.71 | 116.91 | 130.56 |
30
Item 6. | Selected Financial Data (derived from audited financial statements) |
Year Ended | ||||||||||||||||||||
June 30, | June 24, | June 26, | June 27, | June 28, | ||||||||||||||||
2013 (1) | 2012 (1) | 2011 | 2010 | 2009 | ||||||||||||||||
(in thousands, except per share data) | ||||||||||||||||||||
OPERATIONS: |
||||||||||||||||||||
Revenue |
$ | 3,598,916 | $ | 2,665,192 | $ | 3,237,693 | $ | 2,133,776 | $ | 1,115,946 | ||||||||||
Gross margin |
1,403,059 | 1,084,069 | 1,497,232 | 969,935 | 388,734 | |||||||||||||||
Goodwill impairment (2) |
| | | | 96,255 | |||||||||||||||
Restructuring charges, net (3) |
1,813 | 1,725 | 11,579 | 21,314 | 44,513 | |||||||||||||||
409A expense (4) |
| | | (38,590 | ) | 3,232 | ||||||||||||||
Legal judgment |
| | | | 4,647 | |||||||||||||||
Operating income (loss) |
118,071 | 237,733 | 804,285 | 425,410 | (281,243 | ) | ||||||||||||||
Net income (loss) |
113,879 | 168,723 | 723,748 | 346,669 | (302,148 | ) | ||||||||||||||
Net income (loss) per share: |
||||||||||||||||||||
Basic |
$ | 0.67 | $ | 1.36 | $ | 5.86 | $ | 2.73 | $ | (2.41 | ) | |||||||||
Diluted |
$ | 0.66 | $ | 1.35 | $ | 5.79 | $ | 2.71 | $ | (2.41 | ) | |||||||||
BALANCE SHEET: |
||||||||||||||||||||
Working capital |
$ | 2,389,354 | $ | 2,988,181 | $ | 2,592,506 | $ | 1,198,004 | $ | 855,064 | ||||||||||
Total assets |
7,250,315 | 8,004,652 | 4,053,867 | 2,487,392 | 1,993,184 | |||||||||||||||
Long-term obligations, less current portion |
1,170,048 | 1,255,600 | 903,263 | 160,600 | 158,019 |
(1) | Fiscal year 2013 amounts include operating results of Novellus. Fiscal year 2012 amounts include 20 days of operating results of Novellus from the acquisition date of June 4, 2012. The acquisition was accounted for as a business combination in accordance with the applicable accounting guidance. |
(2) | During fiscal year 2009, a combination of factors, including the economic environment, a sustained decline in our market valuation and a decline in our operating results indicated possible impairment of our goodwill. We conducted an analysis and concluded that the fair value of our Clean Product Group had been reduced below its carrying value. As a result, we recorded a non-cash goodwill impairment charge of approximately $96.3 million during fiscal year 2009. |
(3) | Restructuring charges, net exclude restructuring charges (releases) included in cost of goods sold and reflected in gross margin of ($1.0) million, $3.4 million, and $21.0 million for fiscal years 2012, 2010, and 2009, respectively. Restructuring amounts included in cost of goods sold and reflected in gross margin during fiscal year 2009 primarily relate to the Companys alignment of its cost structure with the outlook for the economic environment and business opportunities. |
(4) | 409A expense excludes a credit included in cost of goods sold and reflected in gross margin of $5.8 million in fiscal year 2010 related to a reversal of accrued liabilities due to final settlement of matters associated with our Internal Revenue Code Section 409A (409A) expenses from the 2007 voluntary independent stock option review. Following a voluntary independent review of its historical stock option granting process, the Company considered whether Section 409A of the Internal Revenue Code of 1986, as amended (IRC), and similar provisions of state law, applied to certain stock option grants as to which, under the applicable accounting guidance, intrinsic value was deemed to exist at the time of the options measurement dates. If, under applicable tax principles, an employee stock option is not considered as granted with an exercise price equal to the fair market value of the underlying stock on the grant date, then the optionee may be subject to federal and state penalty taxes under Section 409A (collectively, Section 409A liabilities). On March 30, 2008, the Board of Directors authorized the Company (i) to assume potential Section 409A Liabilities, inclusive of applicable penalties and interest, of current and past employees arising |
31
from the exercise in 2006 or 2007 of Company stock options that vested after 2004, and (ii) if necessary, to compensate such employees for additional tax liability associated with that assumption. |
Three Months Ended (1) | ||||||||||||||||
June 30, | March 31, | December 23, | September 23, | |||||||||||||
2013 | 2013 | 2012 | 2012 | |||||||||||||
(in thousands, except per share data) | ||||||||||||||||
QUARTERLY FISCAL YEAR 2013: |
||||||||||||||||
Revenue |
$ | 986,214 | $ | 844,928 | $ | 860,886 | $ | 906,888 | ||||||||
Gross margin |
413,927 | 339,832 | 315,414 | 333,886 | ||||||||||||
Restructuring charges, net - operating expenses |
792 | | 1,021 | | ||||||||||||
Operating income |
86,498 | 10,819 | 4,042 | 16,712 | ||||||||||||
Net income |
85,707 | 18,996 | 6,408 | 2,768 | ||||||||||||
Net income per share |
||||||||||||||||
Basic |
$ | 0.53 | $ | 0.12 | $ | 0.04 | $ | 0.02 | ||||||||
Diluted |
$ | 0.50 | $ | 0.11 | $ | 0.04 | $ | 0.02 | ||||||||
Number of shares used in per share calculations: |
||||||||||||||||
Basic |
162,520 | 163,034 | 170,699 | 179,928 | ||||||||||||
Diluted |
169,722 | 168,504 | 173,027 | 181,926 | ||||||||||||
Three Months Ended (1) | ||||||||||||||||
June 24, | March 25, | December 25, | September 25, | |||||||||||||
2012 | 2012 | 2011 | 2011 | |||||||||||||
(in thousands, except per share data) | ||||||||||||||||
QUARTERLY FISCAL YEAR 2012: |
||||||||||||||||
Revenue |
$ | 741,814 | $ | 658,961 | $ | 583,981 | $ | 680,436 | ||||||||
Gross margin |
298,213 | 267,147 | 234,826 | 283,883 | ||||||||||||
Restructuring charges, net - operating expenses |
| | | 1,725 | ||||||||||||
Operating income |
32,670 | 58,118 | 47,546 | 99,399 | ||||||||||||
Net income |
18,069 | 45,604 | 33,212 | 71,838 | ||||||||||||
Net income per share |
||||||||||||||||
Basic |
$ | 0.13 | $ | 0.38 | $ | 0.28 | $ | 0.58 | ||||||||
Diluted |
$ | 0.13 | $ | 0.38 | $ | 0.27 | $ | 0.58 | ||||||||
Number of shares used in per share calculations: |
||||||||||||||||
Basic |
133,997 | 119,841 | 119,739 | 123,130 | ||||||||||||
Diluted |
135,842 | 120,956 | 120,873 | 124,049 |
(1) | Our reporting period is a 52/53-week fiscal year. The fiscal years ended June 30, 2013 and June 24, 2012 included 53 and 52 weeks, respectively. All quarters presented above included 13 weeks, except the quarter ended March 31, 2013, which included 14 weeks. |
32
Item 7. | Managements Discussion and Analysis of Financial Condition and Results of Operations |
The following discussion of our financial condition and results of operations contains forward-looking statements, which are subject to risks, uncertainties and changes in condition, significance, value and effect. Our actual results could differ materially from those anticipated in the forward-looking statements as a result of certain factors, including but not limited to those discussed in Risk Factors and elsewhere in this 2013 Form 10-K and other documents we file from time to time with the Securities and Exchange Commission. (See Cautionary Statement Regarding Forward-Looking Statements in Part I of this 2013 Form 10-K).
Managements Discussion and Analysis of Financial Condition and Results of Operations (MD&A) provides a description of our results of operations and should be read in conjunction with our Consolidated Financial Statements and accompanying Notes to Consolidated Financial Statements included in this 2013 Form 10-K. MD&A consists of the following sections:
Executive Summary provides a summary of the key highlights of our results of operations and our managements assessment of material trends and uncertainties relevant to our business.
Results of Operations provides an analysis of operating results.
Critical Accounting Policies and Estimates discusses accounting policies that reflect the more significant judgments and estimates used in the preparation of our consolidated financial statements.
Liquidity and Capital Resources provides an analysis of cash flows, contractual obligations and financial position.
Executive Summary
We design, manufacture, market, refurbish, and service semiconductor processing equipment used in the fabrication of integrated circuits and are recognized as a major provider of such equipment to the worldwide semiconductor industry. Our customers include semiconductor manufacturers that make memory, microprocessors, and other logic integrated circuits for a wide range of consumer and industrial electronics. Semiconductor wafers are subjected to a complex series of process and preparation steps that result in the simultaneous creation of many individual integrated circuits. We leverage our expertise in semiconductor processing to develop technology and productivity solutions that typically benefit our customers through lower defect rates, enhanced yields, faster processing time, and reduced cost as well as by facilitating their ability to meet more stringent performance and design standards.
The semiconductor capital equipment industry has been highly competitive and subject to business cycles that historically have been characterized by rapid changes in demand that necessitate adjusting spending and managing capital allocation prudently across business cycles. Todays leading indicators of change in customer investment patterns, such as electronics demand, memory pricing, and foundry utilization rates, may not be any more reliable than in prior years. Demand for our equipment can vary significantly from period to period as a result of various factors, including, but not limited to, economic conditions (both general and in the semiconductor and electronics industries), industry supply and demand, prices for semiconductors, customer capacity requirements, and our ability to develop, acquire, and market competitive products. For these and other reasons, our results of operations during any particular period are not necessarily indicative of future operating results.
Demand for our products declined slightly in the first half of fiscal year 2013 as semiconductor device manufacturers delayed certain capacity investments. Industry conditions started to improve during the second half of fiscal year 2013 as customers increased their investments in semiconductor equipment to support healthy demand. We believe that, over the long term, demand for our products will increase as customers capital
33
expenditures rise to meet growing demand for semiconductor devices, particularly in mobile markets, and address the increasing complexity of semiconductor manufacturing.
The following summarizes certain key annual financial information for the periods indicated below:
Year Ended | ||||||||||||||||||||||||||||
June 30, 2013 |
June 24, 2012 |
June 26, 2011 |
FY13 vs. FY12 | FY12 vs. FY11 | ||||||||||||||||||||||||
(in thousands, except per share data and percentages) | ||||||||||||||||||||||||||||
Revenue |
$ | 3,598,916 | $ | 2,665,192 | $ | 3,237,693 | $ | 933,724 | 35.0 | % | $ | (572,501 | ) | -17.7 | % | |||||||||||||
Gross margin |
1,403,059 | 1,084,069 | 1,497,232 | $ | 318,990 | 29.4 | % | $ | (413,163 | ) | -27.6 | % | ||||||||||||||||
Gross margin as a percent of total revenue |
39.0 | % | 40.7 | % | 46.2 | % | -1.7 | % | -5.5 | % | ||||||||||||||||||
Total operating expenses |
1,284,988 | 846,336 | 692,947 | $ | 438,652 | 51.8 | % | $ | 153,389 | 22.1 | % | |||||||||||||||||
Net income |
113,879 | 168,723 | 723,748 | $ | (54,844 | ) | -32.5 | % | $ | (555,025 | ) | -76.7 | % | |||||||||||||||
Diluted net income per share |
$ | 0.66 | $ | 1.35 | $ | 5.79 | $ | (0.69 | ) | -51.1 | % | $ | (4.44 | ) | -76.7 | % |
On June 4, 2012 we completed our acquisition of Novellus Systems, Inc (Novellus). Results for fiscal year 2013 include Novellus operations. Results for fiscal year 2012 include Novellus operations from the acquisition date through June 24, 2012. Lams primary reasons for this acquisition were to complement existing product offerings and to provide opportunities for revenue growth and cost synergies.
Fiscal year 2013 revenues increased 35% compared to fiscal year 2012, reflecting a full fiscal year of operations post-acquisition of Novellus. The decrease in gross margin as a percentage of revenue for the fiscal year 2013 compared to fiscal year 2012 was due primarily to amortization of acquired intangible assets and acquisition-related inventory fair value adjustments. Operating expenses in fiscal year 2013 increased as compared to fiscal year 2012 primarily reflecting a full fiscal year of operations post-acquisition of Novellus and operating expenses related to acquired intangible asset amortization and Novellus integration costs.
Our cash and cash equivalents, short-term investments, and restricted cash and investments balances totaled approximately $2.7 billion as of June 30, 2013 compared to $3.0 billion as of June 24, 2012. This decrease was primarily the result of $956 million of share repurchases offset by $720 million in cash provided by operating activities. This compares to $499 million in cash provided by operating activities during fiscal year 2012. The increased operating cash flows in fiscal year 2013 versus fiscal year 2012 were primarily the result of higher revenue levels.
Results of Operations
Shipments and Backlog
Year Ended | ||||||||||||
June 30, | June 24, | June 26, | ||||||||||
2013 | 2012 | 2011 | ||||||||||
Shipments (in millions) |
$ | 3,714 | $ | 2,672 | $ | 3,306 | ||||||
Taiwan |
29 | % | 18 | % | 23 | % | ||||||
North America |
20 | % | 17 | % | 13 | % | ||||||
Korea |
16 | % | 36 | % | 21 | % | ||||||
Asia Pacific |
16 | % | 11 | % | 17 | % | ||||||
Japan |
11 | % | 10 | % | 13 | % | ||||||
Europe |
8 | % | 8 | % | 13 | % |
Shipments for fiscal year 2013 were approximately $3.7 billion and increased by 39% compared to fiscal year 2012. Shipments for fiscal year 2012 were approximately $2.7 billion and decreased by 19% compared to fiscal year 2011. The increase in shipments during fiscal year 2013 as compared to fiscal year 2012 related to
34
having a full year of combined operations with Novellus and the strengthening of customer demand in the second half of fiscal year 2013. The decrease in shipments during fiscal year 2012 as compared to fiscal year 2011 related to change in demand for semiconductor equipment, especially in the first half of fiscal year 2012.
The percentage of total system shipments to each of the market segments we serve were as follows for fiscal years 2013, 2012, and 2011. In the December 2011 quarter we modified the foundry category to include manufacturers that have a majority of their logic capacity available for the foundry business. These shipments were previously reported in the logic/integrated device manufacturing category.
Year Ended | ||||||||||||
June 30, | June 24, | June 26, | ||||||||||
2013 | 2012 | 2011 | ||||||||||
Memory |
36 | % | 45 | % | 49 | % | ||||||
Foundry |
49 | % | 46 | % | 32 | % | ||||||
Logic/integrated device manufacturing |
15 | % | 9 | % | 19 | % |
Unshipped orders in backlog as of June 30, 2013 were approximately $764 million and decreased from approximately $870 million as of June 24, 2012. Our unshipped orders backlog includes orders for systems, spares, and services. Please refer to Backlog in Part I Item 1, Business of this report for a description of our policies for adding to and adjusting backlog.
Revenue
Year Ended | ||||||||||||
June 30, | June 24, | June 26, | ||||||||||
2013 | 2012 | 2011 | ||||||||||
Revenue (in millions) |
$ | 3,599 | $ | 2,665 | $ | 3,238 | ||||||
Taiwan |
29 | % | 18 | % | 24 | % | ||||||
North America |
20 | % | 17 | % | 12 | % | ||||||
Korea |
17 | % | 33 | % | 23 | % | ||||||
Asia Pacific |
16 | % | 11 | % | 15 | % | ||||||
Japan |
10 | % | 12 | % | 13 | % | ||||||
Europe |
8 | % | 9 | % | 13 | % |
The revenue increase in fiscal year 2013 as compared to fiscal year 2012 reflected a full fiscal year of operations post-acquisition of Novellus. The revenue decrease in fiscal year 2012 as compared to fiscal year 2011 was due to the decrease in customer capacity investments. Our revenue levels are generally correlated to the amount of shipments and our installation and acceptance timelines. The overall Asia region continues to account for a majority of our revenues as a substantial amount of the worldwide capacity additions for semiconductor manufacturing continues to occur in this region. Our deferred revenue balance increased to $389.2 million as of June 30, 2013 compared to $335.4 million as of June 24, 2012, due to increased customer shipment levels in the second half of fiscal year 2013. Our deferred revenue balance does not include shipments to Japanese customers, to whom title does not transfer until customer acceptance. Shipments to Japanese customers are classified as inventory at cost until the time of customer acceptance. The anticipated future revenue value from shipments to Japanese customers was approximately $70 million as of June 30, 2013 compared to $23 million as of June 24, 2012.
Gross Margin
Year Ended | ||||||||||||||||||||||||||||
June 30, 2013 |
June 24, 2012 |
June 26, 2011 |
FY13 vs. FY12 | FY12 vs. FY11 | ||||||||||||||||||||||||
(in thousands, except percentages) | ||||||||||||||||||||||||||||
Gross margin |
$ | 1,403,059 | $ | 1,084,069 | $ | 1,497,232 | $ | 318,990 | 29.4 | % | $ | (413,163 | ) | -27.6 | % | |||||||||||||
Percent of total revenue |
39.0 | % | 40.7 | % | 46.2 | % | -1.7 | % | -5.5 | % |
35
The decrease in gross margin as a percentage of revenue for fiscal year 2013 compared to fiscal year 2012 was due primarily to higher acquisition-related inventory fair value adjustments of approximately $77 million, amortization of acquired intangible assets of approximately $78 million, and $16 million of costs associated with rationalization of certain product configurations. Offsetting these higher acquisition and product configuration related expenses was a favorable change in gross margin as a result of increased business volume.
The decrease in gross margin as a percentage of revenue for fiscal year 2012 compared to fiscal year 2011 was due primarily to decreased factory and field utilization as a result of lower volume, and less favorable customer and product mix.
Research and Development
Year Ended | ||||||||||||||||||||||||||||
June 30, 2013 |
June 24, 2012 |
June 26, 2011 |
FY13 vs. FY12 | FY12 vs. FY11 | ||||||||||||||||||||||||
(in thousands, except percentages) | ||||||||||||||||||||||||||||
Research & development (R&D) |
$ | 683,688 | $ | 444,559 | $ | 373,293 | $ | 239,129 | 53.8 | % | $ | 71,266 | 19.1 | % | ||||||||||||||
Percent of total revenue |
19.0 | % | 16.7 | % | 11.5 | % | 2.3 | % | 5.2 | % |
We continued to make significant R&D investments focused on leading-edge plasma etch, deposition, single-wafer clean and other semiconductor manufacturing requirements. Fiscal year 2013 reflects a full year of combined operations with Novellus, while fiscal year 2012 reflects mainly Lam as a standalone entity. Increased R&D expense included $111 million in salary and benefits mainly due to higher headcount, $46 million in supplies, $26 million in depreciation and amortization due to new product development, $15 million in outside services, and an additional $12 million in rent, utilities and repairs. Overall R&D expenses as a percentage of revenue have increased as a result of technology inflections such as the transition to multi-patterning and three dimensional devices.
The increase in R&D spending during fiscal year 2012 compared to fiscal year 2011 was due primarily to an $18 million increase in salary and benefits as a result of higher headcount, a $21 million increase in supplies, and an $11 million increase in depreciation related to new product development. Also included in our results are $11 million of Novellus-related R&D expenses that were incurred in the June 2012 quarter from the acquisition date through June 24, 2012.
Selling, General and Administrative
Year Ended | ||||||||||||||||||||||||||||
June 30, 2013 |
June 24, 2012 |
June 26, 2011 |
FY13 vs. FY12 | FY12 vs. FY11 | ||||||||||||||||||||||||
(in thousands, except percentages) | ||||||||||||||||||||||||||||
Selling, general & administrative (SG&A) |
$ | 599,487 | $ | 400,052 | $ | 308,075 | $ | 199,435 | 49.9 | % | $ | 91,977 | 29.9 | % | ||||||||||||||
Percent of total revenue |
16.7 | % | 15.0 | % | 9.5 | % | 1.7 | % | 5.5 | % |
The increase in SG&A expense during fiscal year 2013 compared to fiscal year 2012 was due primarily to the impact of combined operations with Novellus. Increased expense includes $108 million in salary and benefits due to higher headcount, $73 million of intangible asset amortization, $29 million in integration cost, and $14 million in rent/repair/utilities, all offset by a $47 million decrease in acquisition-related cost.
The increase in SG&A expense during fiscal year 2012 compared to fiscal year 2011 was due primarily to $63 million in expenses related to the Novellus acquisition and integration and $13 million of Novellus related SG&A expenses, including $4 million of intangible asset amortization, incurred in the June 2012 quarter from the acquisition date through June 24, 2012.
36
Restructuring
During fiscal year 2011 we incurred restructuring charges of $11.6 million consisting primarily of certain facilities charges related to the reassessment of future obligations for previously restructured leases.
For further details related to restructuring, see Note 19 of the Notes to Consolidated Financial Statements.
Other Income (Expense), Net
Other income (expense), net, consisted of the following:
Year Ended | ||||||||||||
June 30, | June 24, | June 26, | ||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands) | ||||||||||||
Interest income |
$ | 14,737 | $ | 12,141 | $ | 9,890 | ||||||
Interest expense |
(60,408 | ) | (38,962 | ) | (5,380 | ) | ||||||
Gains (losses) on deferred compensation plan related assets |
9,764 | (914 | ) | 5,682 | ||||||||
Foreign exchange loss |
(6,808 | ) | (397 | ) | (11,085 | ) | ||||||
Other, net |
(8,698 | ) | (5,183 | ) | (2,516 | ) | ||||||
|
|
|
|
|
|
|||||||
$ | (51,413 | ) | $ | (33,315 | ) | $ | (3,409 | ) | ||||
|
|
|
|
|
|
The increase in interest income during fiscal year 2013 as compared with fiscal year 2012 and during fiscal year 2012 compared with fiscal year 2011 was primarily due to increases in our average cash and investment balances from cash provided by operations and proceeds from convertible note financing, which was partially offset by treasury stock transactions and the decrease in interest rate yields.
The increase in interest expense during fiscal year 2013 as compared with fiscal year 2012 and during fiscal year 2012 as compared with fiscal year 2011 was primarily due to the issuance of the $900 million convertible notes during May 2011 and the 2041 Notes assumed in June 2012 in connection with the Novellus acquisition.
Foreign exchange losses in fiscal year 2013 were related to un-hedged portions of the balance sheet exposures, primarily in the Japanese yen, Korean won and Taiwanese dollar. We incurred insignificant foreign exchange losses in fiscal year 2012 related to un-hedged balance sheet exposures. Foreign exchange losses in fiscal year 2011 were related to un-hedged portions of the balance sheet exposures, primarily in the euro, Korean won, and Singapore dollar.
Other expenses during fiscal year 2013 increased as compared to fiscal year 2012 primarily due to a $3.7 million other-than-temporary impairment of a public equity investment recognized during the March 2013 quarter. Other expenses during fiscal year 2012 increased as compared to fiscal year 2011 primarily due to a $1.7 million other-than temporary impairment of a private equity investment recognized during the September 2011 quarter and increased charitable contributions.
Income Tax Expense
Our annual income tax expense(benefit) was $(47.2) million, $35.7 million, and $77.1 million in fiscal years 2013, 2012, and 2011, respectively. Our effective tax rate for fiscal years 2013, 2012, and 2011 was (70.8) %, 17.5%, and 9.6%, respectively. The decrease in the effective tax rate in fiscal year 2013 as compared to fiscal year 2012 was primarily due to the level of income, tax benefits related to the recognition of previously unrecognized tax benefits due to lapse of statute of limitations and successful resolution of certain tax matters, the change in geographical mix of income between higher and lower tax jurisdictions, and tax benefit due to the
37
retroactive reinstatement of the federal research and development tax credit in January 2013. The increase in the effective tax rate in fiscal year 2012 as compared to fiscal year 2011 was primarily due to the level of income, the change in geographical mix of income between higher and lower tax jurisdictions, decrease in federal research and development tax credit due to the expiration of the credit on December 31, 2011, increase in non-deductible stock based compensation, and non-deductible acquisition costs.
International revenues account for a significant portion of our total revenues, such that a material portion of our pre-tax income is earned and taxed outside the United States at rates that are generally lower than in the United States. Please refer to Note 15 of the Notes to Consolidated Financial Statements.
Deferred Income Taxes
Deferred income taxes reflect the net tax effect of temporary differences between the carrying amounts of assets and liabilities for financial reporting purposes and the amounts used for income tax purposes, as well as the tax effect of carryforwards. Our gross deferred tax assets, composed primarily of reserves and accruals that are not currently deductible and tax credit carryforwards, were $317.8 million and $253.7 million at the end of fiscal years 2013 and 2012, respectively. These gross deferred tax assets were offset by deferred tax liabilities of $259.3 million and $285.6 million at the end of fiscal years 2013 and 2012, respectively, and a valuation allowance of $76.6 million and $55.2 million at the end of fiscal years 2013 and 2012, respectively. The change in the gross deferred tax assets and deferred tax liabilities between fiscal year 2013 and 2012 is primarily due to an increase of tax credit attributes resulting from the extension of the federal research and development tax credit in fiscal year 2013, resolution of certain tax matters, and reversal of deferred tax liabilities related to intangibles and fixed assets.
We record a valuation allowance to reduce our deferred tax assets to the amount that is more-likely-than-not to be realized. Realization of our net deferred tax assets is dependent on future taxable income. We believe it is more likely than not that such assets will be realized; however, ultimate realization could be negatively impacted by market conditions and other variables not known or anticipated at this time. In the event that we determine that we would not be able to realize all or part of our net deferred tax assets, an adjustment would be charged to earnings in the period such determination is made. Likewise, if we later determine that it is more-likely-than-not that the deferred tax assets would be realized, then the previously provided valuation allowance would be reversed. Our fiscal years 2013 and 2012 valuation allowance of $76.6 million and $55.2 million primarily relate to California and certain foreign deferred tax assets.
At our fiscal year end of June 30, 2013 we continue to record a valuation allowance to offset the entire California deferred tax asset balance due to the impact of the cost of performance sales factor sourcing rule and the single sales factor apportionment election resulting in lower taxable income in California. We also continue to record valuation allowance on certain foreign entities net operating losses.
We evaluate the realizability of the deferred tax assets quarterly and will continue to assess the need for changes in valuation allowances, if any.
Uncertain Tax Positions
We reevaluate uncertain tax positions on a quarterly basis. This evaluation is based on factors including, but not limited to, changes in facts or circumstances, changes in tax law, effectively settled issues under audit, and new audit activity. Such a change in recognition or measurement would result in the recognition of a tax benefit or an additional charge to the tax provision.
Critical Accounting Policies and Estimates
The preparation of financial statements in conformity with U.S. Generally Accepted Accounting Principles (GAAP) requires management to make certain judgments, estimates and assumptions that could affect the
38
reported amounts of assets and liabilities at the date of the financial statements and the reported amounts of revenue and expenses during the reporting period. We based our estimates and assumptions on historical experience and on various other assumptions we believed to be applicable and evaluate them on an ongoing basis to ensure they remain reasonable under current conditions. Actual results could differ significantly from those estimates.
The significant accounting policies used in the preparation of our financial statements are described in Note 2 of our Consolidated Financial Statements. Some of these significant accounting policies are considered to be critical accounting policies. A critical accounting policy is defined as one that has both a material impact on our financial condition and results of operations and requires us to make difficult, complex and/or subjective judgments, often regarding estimates about matters that are inherently uncertain.
We believe that the following critical accounting policies reflect the more significant judgments and estimates used in the preparation of our consolidated financial statements.
Revenue Recognition: We recognize all revenue when persuasive evidence of an arrangement exists, delivery has occurred and title has passed or services have been rendered, the selling price is fixed or determinable, collection of the receivable is reasonably assured, and we have received customer acceptance, completed our system installation obligations, or are otherwise released from our installation or customer acceptance obligations. If terms of the sale provide for a lapsing customer acceptance period, we recognize revenue upon the expiration of the lapsing acceptance period or customer acceptance, whichever occurs first. If the practices of a customer do not provide for a written acceptance or the terms of sale do not include a lapsing acceptance provision, we recognize revenue when it can be reliably demonstrated that the delivered system meets all of the agreed-to customer specifications. In situations with multiple deliverables, we recognize revenue upon the delivery of the separate elements to the customer and when we receive customer acceptance or are otherwise released from our customer acceptance obligations. We allocate revenue from multiple-element arrangements among the separate elements based on their relative selling prices, provided the elements have value on a stand-alone basis. Our sales arrangements do not include a general right of return. The maximum revenue we recognize on a delivered element is limited to the amount that is not contingent upon the delivery of additional items. We generally recognize revenue related to sales of spare parts and system upgrade kits upon shipment. We generally recognize revenue related to services upon completion of the services requested by a customer order. We recognize revenue for extended maintenance service contracts with a fixed payment amount on a straight-line basis over the term of the contract. When goods or services have been delivered to the customer but all conditions for revenue recognition have not been met, we record deferred revenue and/or deferred costs of sales in deferred profit on our Consolidated Balance Sheet.
Inventory Valuation: Inventories are stated at the lower of cost or market using standard costs that generally approximate actual costs on a first-in, first-out basis. We maintain a perpetual inventory system and continuously record the quantity on-hand and standard cost for each product, including purchased components, subassemblies, and finished goods. We maintain the integrity of perpetual inventory records through periodic physical counts of quantities on hand. Finished goods are reported as inventories until the point of title transfer to the customer. Unless specified in the terms of sale, title generally transfers when we complete physical transfer of the products to the freight carrier. Transfer of title for shipments to Japanese customers generally occurs at the time of customer acceptance.
Management evaluates the need to record adjustments for impairment of inventory at least quarterly. Our policy is to assess the valuation of all inventories including manufacturing raw materials, work-in-process, finished goods, and spare parts in each reporting period. Obsolete inventory or inventory in excess of managements estimated usage requirements over the next 12 to 36 months is written down to its estimated market value if less than cost. Estimates of market value include, but are not limited to, managements forecasts related to our future manufacturing schedules, customer demand, technological and/or market obsolescence, general semiconductor market conditions, and possible alternative uses. If future customer demand or market
39
conditions are less favorable than our projections, additional inventory write-downs may be required and would be reflected in cost of goods sold in the period in which we make the revision.
Warranty: Typically, the sale of semiconductor capital equipment includes providing parts and service warranty to customers as part of the overall price of the system. We provide standard warranties for our systems. When appropriate, we record a provision for estimated warranty expenses to cost of sales for each system when we recognize revenue. We do not maintain general or unspecified reserves; all warranty reserves are related to specific systems. The amount recorded is based on an analysis of historical activity that uses factors such as type of system, customer, geographic region, and any known factors such as tool reliability trends. All actual or estimated parts and labor costs incurred in subsequent periods are charged to those established reserves on a system-by-system basis.
Actual warranty expenses are accounted for on a system-by-system basis and may differ from our original estimates. While we periodically monitor the performance and cost of warranty activities, if actual costs incurred are different than our estimates, we may recognize adjustments to provisions in the period in which those differences arise or are identified. In addition to the provision of standard warranties, we offer customer-paid extended warranty services. Revenues for extended maintenance and warranty services with a fixed payment amount are recognized on a straight-line basis over the term of the contract. Related costs are recorded as incurred.
Equity-based Compensation Employee Stock Purchase Plan (ESPP) and Employee Stock Plans: GAAP requires us to recognize the fair value of equity-based compensation in net income. We determine the fair value of our restricted stock units (RSUs) based upon the fair market value of Company stock at the date of grant. We estimate the fair value of our stock options and ESPP awards using the Black-Scholes option valuation model. This model requires us to input highly subjective assumptions, including expected stock price volatility and the estimated life of each award. We amortize the fair value of equity-based awards over the vesting periods of the awards, and we have elected to use the straight-line method of amortization.
We make quarterly assessments of the adequacy of our tax credit pool related to equity-based compensation to determine if there are any deficiencies that we are required to recognize in our Consolidated Statements of Operations. We will only recognize a benefit from stock-based compensation in paid-in-capital if we realize an incremental tax benefit after all other tax attributes currently available to us have been utilized. In addition, we have elected to account for the indirect benefits of stock-based compensation on the research tax credit through the income statement (continuing operations) rather than through paid-in-capital. We have also elected to net deferred tax assets and the associated valuation allowance related to net operating loss and tax credit carryforwards for the accumulated stock award tax benefits for income tax footnote disclosure purposes. We will track these stock award attributes separately and will only recognize these attributes through paid-in-capital.
Income Taxes: Deferred income taxes reflect the net tax effect of temporary differences between the carrying amount of assets and liabilities for financial reporting purposes and the amounts used for income tax purposes, as well as the tax effect of carryforwards. We record a valuation allowance to reduce our deferred tax assets to the amount that is more likely than not to be realized. Realization of our net deferred tax assets is dependent on future taxable income. We believe it is more-likely-than-not that such assets will be realized; however, ultimate realization could be negatively impacted by market conditions and other variables not known or anticipated at the time. In the event that we determine that we would not be able to realize all or part of our net deferred tax assets, an adjustment would be charged to earnings in the period such determination is made. Likewise, if we later determine that it is more-likely-than-not that the deferred tax assets would be realized, then the previously provided valuation allowance would be reversed.
We recognize the benefit from a tax position only if it is more-likely-than-not that the position would be sustained upon audit based solely on the technical merits of the tax position. Our policy is to include interest and penalties related to unrecognized tax benefits as a component of income tax expense. Please refer to Note 15 of the Notes to the Consolidated Financial Statements for additional information.
40
In addition, the calculation of our tax liabilities involves dealing with uncertainties in the application of complex tax regulations. We recognize liabilities for uncertain tax positions based on a two-step process. The first step is to evaluate the tax position for recognition by determining if the weight of available evidence indicates that it is more-likely-than-not that the position will be sustained on audit, including resolution of related appeals or litigation processes, if any. The second step requires us to estimate and measure the tax benefit as the largest amount that is more than 50% likely to be realized upon ultimate settlement. It is inherently difficult and subjective to estimate such amounts, as this requires us to determine the probability of various possible outcomes. We reevaluate these uncertain tax positions on a quarterly basis. This evaluation is based on factors including, but not limited to, changes in facts or circumstances, changes in tax law, effectively settled issues under audit, and new audit activity. Such a change in recognition or measurement would result in the recognition of a tax benefit or an additional charge to the tax provision in the period such determination is made.
Goodwill and Intangible Assets: The valuation of intangible assets acquired in a business combination requires the use of management estimates including but not limited to estimating future expected cash flows from assets acquired and determining discount rates. Managements estimates of fair value are based upon assumptions believed to be reasonable, but which are inherently uncertain and unpredictable and, as a result, actual results may differ from estimates. Estimates associated with the accounting for acquisitions may change as additional information becomes available.
Goodwill represents the amount by which the purchase price in each business combination exceeds the fair value of the net tangible and identifiable intangible assets acquired. Each component of the Company for which discrete financial information is available and for which segment management regularly reviews the results of operations is considered a reporting unit. All goodwill acquired in a business combination is assigned to one or more reporting units as of the acquisition date. Goodwill is assigned to the Companys reporting units that are expected to benefit from the synergies of the combination. The goodwill assigned to a reporting unit is the difference between the acquisition consideration assigned to the reporting unit on a relative fair value basis and the fair value of acquired assets and liabilities that can be specifically attributed to the reporting unit. We test goodwill and identifiable intangible assets with indefinite useful lives for impairment at least annually. We amortize intangible assets with estimable useful lives over their respective estimated useful lives, and we review for impairment whenever events or changes in circumstances indicate that the carrying amount of the intangible asset may not be recoverable and the carrying amount exceeds its fair value.
We review goodwill at least annually for impairment. If certain events or indicators of impairment occur between annual impairment tests, we would perform an impairment test of goodwill at that date. In testing for a potential impairment of goodwill, we: (1) allocate goodwill to our reporting units to which the acquired goodwill relates; (2) estimate the fair value of our reporting units; and (3) determine the carrying value (book value) of those reporting units, as some of the assets and liabilities related to those reporting units are not held by those reporting units but by a corporate function. Prior to this allocation of the assets to the reporting units, we are required to assess long-lived assets for impairment. Furthermore, if the estimated fair value of a reporting unit is less than the carrying value, we must estimate the fair value of all identifiable assets and liabilities of that reporting unit, in a manner similar to a purchase price allocation for an acquired business. This can require independent valuations of certain internally generated and unrecognized intangible assets such as in-process R&D and developed technology. Only after this process is completed can the amount of goodwill impairment, if any, be determined. Beginning with our fiscal year 2012 goodwill impairment analysis, we adopted new accounting guidance that allowed us to first assess qualitative factors to determine whether it was necessary to perform a quantitative analysis. Under the revised guidance, an entity is no longer required to calculate the fair value of a reporting unit unless the entity determines, based on a qualitative assessment, that it is more-likely-than-not that its fair value is less than its carrying amount. Our most recent annual goodwill impairment analysis, which was performed as of April 1, 2013, did not result in a goodwill impairment charge, nor did we record any goodwill impairment in fiscal 2012 or 2011. As a result of historical performance and growth potential, our Clean systems reporting unit may be at greater risk for goodwill impairment than our other reporting units if our actual results for this reporting unit differ from our projections.
41
The process of evaluating the potential impairment of goodwill is subjective and requires significant judgment at many points during the analysis. We determine the fair value of our reporting units by using a weighted combination of both a market and an income approach, as this combination is deemed to be the most indicative of fair value in an orderly transaction between market participants.
Under the market approach, we use information regarding the reporting unit as well as publicly available industry information to determine various financial multiples to value our reporting units. Under the income approach, we determine fair value based on estimated future cash flows of each reporting unit, discounted by an estimated weighted-average cost of capital, which reflects the overall level of inherent risk of a reporting unit and the rate of return an outside investor would expect to earn.
In estimating the fair value of a reporting unit for the purposes of our annual or periodic analyses, we make estimates and judgments about the future cash flows of our reporting units, including estimated growth rates and assumptions about the economic environment. Although our cash flow forecasts are based on assumptions that are consistent with the plans and estimates we are using to manage the underlying businesses, there is significant judgment involved in determining the cash flows attributable to a reporting unit. In addition, we make certain judgments about allocating shared assets to the estimated balance sheets of our reporting units. We also consider our market capitalization and that of our competitors on the date we perform the analysis. Changes in judgment on these assumptions and estimates could result in a goodwill impairment charge.
As a result, several factors could result in impairment of a material amount of our goodwill balance in future periods, including, but not limited to: (1) weakening of the global economy, weakness in the semiconductor equipment industry, or our failure to reach our internal forecasts, which could impact our ability to achieve our forecasted levels of cash flows and reduce the estimated discounted cash flow value of our reporting units; and (2) a decline in our stock price and resulting market capitalization, if we determine that the decline is sustained and indicates a reduction in the fair value of our reporting units below their carrying value. In addition, the value we assign to intangible assets, other than goodwill, is based on our estimates and judgments regarding expectations such as the success and life cycle of products and technology acquired. If actual product acceptance differs significantly from our estimates, we may be required to record an impairment charge to write down the asset to its realizable value.
Recent Accounting Pronouncements
In June 2011, the Financial Accounting Standards Board (FASB) issued new authoritative guidance that increases the prominence of items reported in other comprehensive income (OCI) by eliminating the option to present components of OCI as part of the statement of changes in stockholders equity. The amendments in this standard require that all non-owner changes in stockholders equity be presented either in a single continuous statement of comprehensive income or in two separate but consecutive statements. We adopted this guidance in the September 2012 quarter. The implementation of this authoritative guidance did not have an impact on our financial position or results of operations, but did change the presentation of our financial statements.
In February 2013, the FASB issued an accounting standard update regarding the reporting of amounts reclassified out of accumulated other comprehensive income. The February 2013 update does not change the current requirements for reporting net income or other comprehensive income in financial statements. However, this update requires an entity to present on the face of the financial statements or in the notes amounts reclassified from each component of accumulated other comprehensive income and the income statement line items affected by the reclassification. As allowed in the update, the Company elected to early adopt these disclosure amendments in the quarter ended March 31, 2013. The implementation of this update did not impact the Companys financial position, results of operations or cash flows as it was disclosure-only in nature.
In July 2013, the FASB released Accounting Standards Update 2013-11 "Presentation of an Unrecognized Tax Benefit When a Net Operating Loss Carryforward, a Similar Tax Loss, or a Tax Credit Carryforward Exists".
42
The new standard requires that an unrecognized tax benefit should be presented as a reduction of a deferred tax asset for a net operating loss carryforward or other tax credit carryforward when settlement in this manner is available under the tax law. We are required to adopt this standard starting fiscal year 2015 and are currently in the process of determining the impact, if any, on our financial position.
Liquidity and Capital Resources
Total gross cash, cash equivalents, short-term investments, and restricted cash and investments balances were $2.7 billion at the end of fiscal year 2013 compared to $3.0 billion at the end of fiscal year 2012. This decrease was primarily due to share repurchases of $956 million, partially offset by cash generated by operations of $720 million. Approximately $2.0 billion of our total cash and investments as of June 30, 2013 were held outside the U.S. in our foreign subsidiaries, of which substantially all would be subject to tax at U.S. rates if it were to be repatriated. Refer to Note 15 of our Consolidated Financial Statements, included in Item 15 of this report, for information concerning the potential tax impact of repatriating earnings for certain non-U.S. subsidiaries that are permanently reinvested outside the U.S.
Cash Flows from Operating Activities
Net cash provided by operating activities of $720 million during fiscal year 2013 consisted of (in millions):
Net income |
$ | 113.9 | ||
Non-cash charges: |
||||
Depreciation and amortization |
304.1 | |||
Equity-based compensation |
99.3 | |||
Restructuring charges, net |
1.8 | |||
Deferred income taxes |
(70.2 | ) | ||
Amortization of convertible note discount |
31.6 | |||
Impairment of investment |
3.7 | |||
Changes in operating asset and liability accounts |
200.2 | |||
Other |
35.5 | |||
|
|
|||
$ | 719.9 | |||
|
|
Significant changes in operating asset and liability accounts, net of foreign exchange impact, included the following sources of cash: decreases in accounts receivable of $162.6 million and inventories of $76.4 million and an increase in deferred profit of $60.2 million, partially offset by the following uses of cash: decreases in accounts payable of $58.1 million and accrued liabilities of $43.8 million.
Cash Flows from Investing Activities
Net cash used for investing activities during fiscal year 2013 was $238.6 million which was primarily due to capital expenditures of $160.8 million and net purchases of available-for-sale securities of $58.4 million.
Cash Flows from Financing Activities
Net cash used for financing activities during fiscal year 2013 was $887.8 million which was primarily due to $955.7 million in treasury stock repurchases, partially offset by net proceeds from issuance of common stock related to employee equity-based plans of $70.6 million.
Liquidity
Given the cyclical nature of the semiconductor equipment industry, we believe that maintaining sufficient liquidity reserves is important to support sustaining levels of investment in R&D and capital infrastructure. Based
43
upon our current business outlook, we expect that our levels of cash, cash equivalents, and short-term investments at June 30, 2013 will be sufficient to support our presently anticipated levels of operations, investments, debt service requirements, and capital expenditures, through at least the next 12 months.
In the longer term, liquidity will depend to a great extent on our future revenues and our ability to appropriately manage our costs based on demand for our products and services. While we have substantial cash balances in the United States and offshore, we may require additional funding and need to raise the required funds through borrowings or public or private sales of debt or equity securities. We believe that, if necessary, we will be able to access the capital markets on terms and in amounts adequate to meet our objectives. However, given the possibility of changes in market conditions or other occurrences, there can be no certainty that such funding will be available in needed quantities or on terms favorable to us.
Off-Balance Sheet Arrangements and Contractual Obligations
We have certain obligations to make future payments under various contracts, some of which are recorded on our balance sheet and some of which are not. Obligations are recorded on our balance sheet in accordance with GAAP and include our long-term debt which is outlined in the following table and noted below. Our off-balance sheet arrangements include contractual relationships and are presented as operating leases and purchase obligations in the table below. Our contractual cash obligations and commitments as of June 30, 2013, relating to these agreements and our guarantees are included in the following table. The amounts in the table below exclude $246.5 million of liabilities related to uncertain tax benefits as we are unable to reasonably estimate the ultimate amount or time of settlement. See Note 15 of Notes to the Consolidated Financial Statements for further discussion.
Less than | 1-3 | 3-5 | More than | Sublease | ||||||||||||||||||||
Total | 1 year | years | years | 5 years | Income | |||||||||||||||||||
(in thousands) | ||||||||||||||||||||||||
Operating Leases |
$ | 39,148 | $ | 14,122 | $ | 17,815 | $ | 7,967 | $ | 4,446 | $ | (5,202 | ) | |||||||||||
Capital Leases |
13,981 | 1,849 | 3,625 | 8,507 | | | ||||||||||||||||||
Purchase Obligations |
158,596 | 147,425 | 9,045 | 2,126 | | | ||||||||||||||||||
Long-term Debt and Interest Expense* |
2,145,977 | 26,248 | 502,215 | 497,290 | 1,120,224 | | ||||||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|||||||||||||
Total |
$ | 2,357,702 | $ | 189,644 | $ | 532,700 | $ | 515,890 | $ | 1,124,670 | $ | (5,202 | ) | |||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
* | The conversion period for the 2041 Notes opened as of June 30, 2013 and as such the net carrying value of the 2041 Notes is included within current liabilities on our Consolidated Balance Sheet. The $700 million principal balance of the 2041 Notes has been included in the more than 5 years payment period in the table above, which reflects the contractual maturity assuming no conversion. See Note 13 of our Consolidate Financial Statements, included in Item 15 of this report, for additional information concerning the 2041 Notes and associated conversion features. |
Operating Leases
We lease most of our administrative, R&D and manufacturing facilities, regional sales/service offices and certain equipment under non-cancelable operating leases. Certain of our facility leases for buildings located at our Fremont, California headquarters, Livermore facilities, and certain other facility leases provide us with an option to extend the leases for additional periods or to purchase the facilities. Certain of our facility leases provide for periodic rent increases based on the general rate of inflation. In addition to amounts included in the table above, we have guaranteed residual values for certain of our Fremont and Livermore facility leases of up to $164.9 million. See Note 14 of Notes to the Consolidated Financial Statements for further discussion.
44
Capital Leases
Capital leases reflect building and office equipment lease obligations. The amounts in the table above include the interest portion of payment obligations.
Purchase Obligations
Purchase obligations consist of significant contractual obligations either on an annual basis or over multi-year periods related to our outsourcing activities or other material commitments, including vendor-consigned inventories. The contractual cash obligations and commitments table presented above contains our minimum obligations at June 30, 2013 under these arrangements and others. For obligations with cancellation provisions, the amounts included in the preceding table were limited to the non-cancelable portion of the agreement terms or the minimum cancellation fee. Actual expenditures will vary based on the volume of transactions and length of contractual service provided.
Long-Term Debt
On May 11, 2011, we issued and sold $450.0 million in aggregate principal amount of 0.5% convertible notes due 2016 (the 2016 Notes) and $450.0 million in aggregate principal amount of 1.25% convertible notes due 2018 (the 2018 Notes, and collectively with the 2016 Notes, the Notes). The 2016 Notes were issued at par and pay interest at a rate of 0.5% per annum and the 2018 Notes were issued at par and pay interest at rate of 1.25% per annum. The Notes may be converted into our common stock, under certain circumstances, based on an initial conversion rate of 15.8687 shares of our common stock per $1,000 principal amount of Notes, which is equal to a conversion price of approximately $63.02 per share of our common stock. The conversion price will be subject to adjustment in some events but will not be adjusted for accrued interest. Concurrently with the issuance of the Notes, we purchased convertible note hedges for $181.1 million and sold warrants for $133.8 million. The separate convertible note hedges and warrant transactions are structured to reduce the potential future economic dilution associated with the conversion of the Notes.
In June 2012, with the acquisition of Novellus, we assumed $700 million in aggregate principal amount of 2.625% Convertible Senior Notes due May 2041 (the 2041 Notes). The 2041 Notes were issued at par and pay interest at a rate of 2.625% per annum. The 2041 Notes may be converted, under certain circumstances, into our common stock based on an initial conversion rate of 28.4781 shares of common stock per $1,000 principal amount of notes, which represents an initial conversion price of approximately $35.11 per share of common stock.
During fiscal year 2013, 2012, and 2011 we made $2.2 million, $5.3 million, and $4.5 million, respectively, in principal payments on long-term debt and capital leases, respectively.
Other Guarantees
We have issued certain indemnifications to our lessors for taxes and general liability under some of our agreements. We have entered into certain insurance contracts that may limit our exposure to such indemnifications. As of June 30, 2013, we had not recorded any liability on our Consolidated Financial Statements in connection with these indemnifications, as we do not believe, based on information available, that it is probable that we will pay any amounts under these guarantees.
Generally, we indemnify, under pre-determined conditions and limitations, our customers for infringement of third-party intellectual property rights by our products or services. We seek to limit our liability for such indemnity to an amount not to exceed the sales price of the products or services subject to its indemnification obligations. We do not believe, based on information available, that it is probable that we will pay any material amounts under these guarantees.
45
We provide guarantees and standby letters of credit to certain parties as required for certain transactions initiated during the ordinary course of business. As of June 30, 2013, the maximum potential amount of future payments that we could be required to make under these arrangements and letters of credit was $15.0 million. We do not believe, based on historical experience and information currently available, that it is probable that any amounts will be required to be paid.
Warranties
We offer standard warranties on our systems. The liability amount is based on actual historical warranty spending activity by type of system, customer, and geographic region, modified for any known differences such as the impact of system reliability improvements.
Item 7A. | Quantitative and Qualitative Disclosures About Market Risk |
Investments
We maintain an investment portfolio of various holdings, types, and maturities. As of June 30, 2013, our mutual funds are classified as trading securities. Investments classified as trading securities are recorded at fair value based upon quoted market prices. Any material differences between the cost and fair value of trading securities is recognized as Other income (expense) in our Consolidated Statement of Operations. All of our other short-term investments are classified as available-for-sale and consequently are recorded in the Consolidated Balance Sheets at fair value with unrealized gains or losses reported as a separate component of accumulated other comprehensive income, net of tax.
46
Interest Rate Risk
Fixed Income Securities
Our investments in various interest earning securities carry a degree of market risk for changes in interest rates. At any time, a sharp rise in interest rates could have a material adverse impact on the fair value of our fixed income investment portfolio. Conversely, declines in interest rates could have a material adverse impact on interest income for our investment portfolio. We target to maintain a conservative investment policy, which focuses on the safety and preservation of our capital by limiting default risk, market risk, reinvestment risk, and concentration risk. The following table presents the hypothetical fair values of fixed income securities that would result from selected potential decreases and increases in interest rates. Market changes reflect immediate hypothetical parallel shifts in the yield curve of plus or minus 50 basis points (BPS), 100 BPS, and 150 BPS. The hypothetical fair values as of June 30, 2013 were as follows:
Valuation of Securities Given an Interest Rate Decrease of X Basis Points |
Fair Value as of June 30, 2013 |
Valuation of Securities Given an Interest Rate Increase of X Basis Points |
||||||||||||||||||||||||||
(150 BPS) | (100 BPS) | (50 BPS) | 0.00% | 50 BPS | 100 BPS | 150 BPS | ||||||||||||||||||||||
(in thousands) | ||||||||||||||||||||||||||||
Municipal Notes and Bonds |
$ | 273,239 | $ | 271,741 | $ | 270,243 | $ | 268,746 | $ | 267,248 | $ | 265,750 | $ | 264,252 | ||||||||||||||
US Treasury & Agencies |
159,745 | 158,261 | 156,778 | 155,293 | 153,811 | 152,327 | 150,843 | |||||||||||||||||||||
Government-Sponsored Enterprises |
55,937 | 55,560 | 55,182 | 54,805 | 54,427 | 54,049 | 53,671 | |||||||||||||||||||||
Foreign Government Bond |
25,450 | 25,291 | 25,131 | 24,972 | 24,813 | 24,654 | 24,495 | |||||||||||||||||||||
Corporate Notes and Bonds |
874,596 | 869,895 | 865,194 | 860,492 | 855,790 | 851,089 | 846,388 | |||||||||||||||||||||
Mortgage Backed Securities - Residential |
28,544 | 28,151 | 27,758 | 27,365 | 26,972 | 26,579 | 26,186 | |||||||||||||||||||||
Mortgage Backed Securities - Commercial |
110,105 | 109,389 | 108,673 | 107,958 | 107,241 | 106,525 | 105,809 | |||||||||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|||||||||||||||
Total |
$ | 1,527,616 | $ | 1,518,288 | $ | 1,508,959 | $ | 1,499,631 | $ | 1,490,302 | $ | 1,480,973 | $ | 1,471,644 | ||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
We mitigate default risk by investing in high credit quality securities and by positioning our portfolio to respond appropriately to a significant reduction in a credit rating of any investment issuer or guarantor. The portfolio includes only marketable securities with active secondary or resale markets to achieve portfolio liquidity and maintain a prudent amount of diversification.
Long-Term Debt
As of June 30, 2013, we had $1.6 billion in principal amount of fixed-rate long-term debt outstanding, with a fair value of $2.0 billion. The fair value of our Notes is subject to interest rate risk, market risk and other factors due to the convertible feature. Generally, the fair value of Notes will increase as interest rates fall and/or our common stock price increases, and decrease as interest rates rise and/or our common stock price decreases. The interest and market value changes affect the fair value of our Notes but do not impact our financial position, cash flows, or results of operations due to the fixed nature of the debt obligations. We do not carry the Notes at fair value, but present the fair value of the principal amount of our Notes for disclosure purposes.
47
Equity Price Risk
Publicly Traded Securities
The values of our investments in publicly traded securities, including mutual funds related to our obligations under our deferred compensation plans, are subject to market price risk. The following table presents the hypothetical fair values of our publicly traded securities that would result from selected potential decreases and increases in the price of each security in the portfolio. Potential fluctuations in the price of each security in the portfolio of plus or minus 10%, 15%, or 25% were selected based on potential near-term changes in those security prices. The hypothetical fair values as of June 30, 2013 were as follows:
Valuation of Securities Given an X% Decrease in Stock Price |
Fair Value as of June 30, 2013 |
Valuation of Securities Given an X% Increase in Stock Price |
||||||||||||||||||||||||||
(25%) | (15%) | (10%) | 0.00% | 10% | 15% | 25% | ||||||||||||||||||||||
(in thousands) | ||||||||||||||||||||||||||||
Mutual Funds |
$ | 13,662 | $ | 15,484 | $ | 16,394 | $ | 18,216 | $ | 20,038 | $ | 20,948 | $ | 22,770 | ||||||||||||||
Publicly traded equity securities |
$ | 5,322 | $ | 6,032 | $ | 6,386 | $ | 7,096 | $ | 7,806 | $ | 8,160 | $ | 8,870 | ||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|||||||||||||||
Total |
$ | 18,984 | $ | 21,515 | $ | 22,781 | $ | 25,312 | $ | 27,843 | $ | 29,109 | $ | 31,640 | ||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Foreign Currency Exchange (FX) Risk
We conduct business on a global basis in several major international currencies. As such, we are potentially exposed to adverse as well as beneficial movements in foreign currency exchange rates. The majority of our revenues and expenses are denominated in U.S. dollars. However, we are exposed to foreign currency exchange rate fluctuations primarily related to revenues denominated in Japanese yen and euro-denominated expenses.
Currently, we enter into foreign currency forward contracts to minimize the short-term impact of foreign currency exchange rate fluctuations on certain foreign currency denominated monetary assets and liabilities, primarily third party accounts receivables, accounts payables and intercompany receivables and payables. In addition, we hedge certain anticipated foreign currency cash flows, primarily on Japanese yen-denominated revenues and euro-denominated expenses. We currently believe these are our primary exposures to currency rate fluctuation.
To protect against the reduction in value of anticipated revenues denominated in Japanese yen-and euro-denominated expenses, we enter into foreign currency forward contracts that generally expire within 12 months, and no later than 24 months. These foreign currency forward contracts are designated as cash flow hedges and are carried on our balance sheet at fair value, with the effective portion of the contracts gains or losses included in accumulated other comprehensive income (loss) and subsequently recognized in earnings in the same period the hedged revenue and/or expense is recognized. We also enter into foreign currency forward contracts to hedge the gains and losses generated by the remeasurement of certain non-U.S.-dollar denominated monetary assets and liabilities, primarily third party accounts receivables, accounts payables and intercompany receivables and payables. The change in fair value of these balance sheet hedge contracts is recorded into earnings as a component of other income (expense), net and offsets the change in fair value of the foreign currency denominated monetary assets and liabilities also recorded in other income (expense), net, assuming the hedge contract fully covers the intercompany and trade receivable balances.The notional amount and unrealized gain of our outstanding forward contracts that are designated as cash flow hedges, as of June 30, 2013 are shown in the
48
table below. This table also shows the change in fair value of these cash flow hedges assuming a hypothetical foreign currency exchange rate movement of plus-or-minus 10 percent and plus-or-minus 15 percent.
Notional Amount |
Unrealized FX Gain / (Loss) June 30, 2013 |
Valuation of Fx Contracts Given an X% Increase (+)/Decrease(-) in Each Fx Rate |
||||||||||||||||||
+ / - (10%) | + / - (15%) | |||||||||||||||||||
(in $ Millions) | ||||||||||||||||||||
Cash Flow Hedge |
||||||||||||||||||||
Sell |
Japanese Yen | $ | 137.3 | $ | 1.5 | $ | 13.6 | $ | 20.3 | |||||||||||
Buy |
Euro | $ | 59.9 | $ | 1.8 | $ | 6.1 | $ | 9.2 | |||||||||||
|
|
|
|
|
|
|||||||||||||||
$ | 3.3 | $ | 19.7 | $ | 29.5 |
The notional amount and unrealized loss of our outstanding foreign currency forward contracts that are designated as balance sheet hedges, as of June 30, 2013 are shown in the table below. This table also shows the change in fair value of these balance sheet hedges, assuming a hypothetical foreign currency exchange rate movement of plus-or-minus 10 percent and plus-or-minus 15 percent. These changes in fair values would be offset in other income (expense), net, by corresponding change in fair values of the foreign currency denominated monetary assets and liabilities, assuming the hedge contract fully covers the intercompany and trade receivable balances.
Notional Amount |
Unrealized FX Gain / (Loss) June 30, 2013 |
Valuation of Fx Contracts Given an X% Increase (+)/Decrease(-) in Each Fx Rate |
||||||||||||||||||
+ / - (10%) | + / - (15%) | |||||||||||||||||||
(in $ Millions) | ||||||||||||||||||||
Balance Sheet Hedge |
||||||||||||||||||||
Sell |
Japanese Yen | $ | 97.4 | $ | 0.0 | $ | 9.7 | $ | 14.6 | |||||||||||
Sell |
Euro | $ | 0.8 | $ | 0.0 | $ | 0.1 | $ | 0.1 | |||||||||||
Buy |
Korean Won | $ | 14.1 | $ | 0.0 | $ | 1.4 | $ | 2.1 | |||||||||||
Buy |
Taiwan Dollar | $ | 120.6 | $ | (0.5 | ) | $ | 12.0 | $ | 18.1 | ||||||||||
Buy |
Swiss Francs | $ | 17.1 | $ | 0.0 | $ | 1.7 | $ | 2.6 | |||||||||||
|
|
|
|
|
|
|||||||||||||||
$ | (0.5 | ) | $ | 24.9 | $ | 37.5 |
Item 8. | Financial Statements and Supplementary Data |
The Consolidated Financial Statements required by this Item are set forth on the pages indicated in Item 15(a). The unaudited quarterly results of our operations for our two most recent fiscal years are incorporated in this Item by reference under Item 6, Selected Financial Data above.
Item 9. | Changes in and Disagreements With Accountants on Accounting and Financial Disclosure |
None.
Item 9A. | Controls and Procedures |
Disclosure Controls and Procedures
As required by Rule 13a-15(b) under the Securities Exchange Act of 1934, as amended (the Exchange Act), as of June 30, 2013, we carried out an evaluation, under the supervision and with the participation of our management, including our Chief Executive Officer and our Chief Financial Officer, of the effectiveness of the design and operation of our disclosure controls and procedures as defined in Rule 13a-15(e). Based upon that evaluation, our Chief Executive Officer and our Chief Financial Officer each concluded that our disclosure controls and procedures are effective at the reasonable assurance level.
49
We intend to review and evaluate the design and effectiveness of our disclosure controls and procedures on an ongoing basis and to correct any material deficiencies that we may discover. Our goal is to ensure that our senior management has timely access to material information that could affect our business.
Changes in Internal Control Over Financial Reporting
There has been no change in our internal control over financial reporting during our most recent fiscal quarter that has materially affected, or is reasonably likely to materially affect, our internal control over financial reporting.
Managements Report on Internal Control Over Financial Reporting
Management is responsible for establishing and maintaining adequate internal control over financial reporting, as that term is defined in Exchange Act Rules 13a-15(f) and 15d-15(f). Management has used the framework set forth in the report entitled Internal Control Integrated Framework published by the Committee of Sponsoring Organizations of the Treadway Commission to evaluate the effectiveness of the Companys internal control over financial reporting. Based on that evaluation, management has concluded that the Companys internal control over financial reporting was effective as of June 30, 2013 at providing reasonable assurance regarding the reliability of financial reporting and the preparation of financial statements for external purposes in accordance with GAAP.
Ernst & Young LLP, an independent registered public accounting firm, has audited the Companys internal control over financial reporting, as stated in their report, which is included in Part IV, Item 15 of this 2013 Form 10-K.
Effectiveness of Controls
While we believe the present design of our disclosure controls and procedures and internal control over financial reporting is effective at the reasonable assurance level, future events affecting our business may cause us to modify our disclosure controls and procedures or internal controls over financial reporting. The effectiveness of controls cannot be absolute because the cost to design and implement a control to identify errors or mitigate the risk of errors occurring should not outweigh the potential loss caused by the errors that would likely be detected by the control. Moreover, we believe that a control system cannot be guaranteed to be 100% effective all of the time. Accordingly, a control system, no matter how well designed and operated, can provide only reasonable, not absolute, assurance that the control systems objectives will be met.
Item 9B. | Other Information |
None.
50
We have omitted from this 2013 Form 10-K certain information required by Part III because we, as the Registrant, will file a definitive proxy statement with the Securities and Exchange Commission (SEC) within 120 days after the end of our fiscal year, pursuant to Regulation 14A, as promulgated by the SEC, for our Annual Meeting of Stockholders expected to be held on or about November 7, 2013 (the Proxy Statement), and certain information included in the Proxy Statement is incorporated into this report by reference. (However, the Reports of the Audit Committee and Compensation Committee in the Proxy Statement are expressly not incorporated by reference into this report.)
Item 10. | Directors, Executive Officers, and Corporate Governance |
For information regarding our executive officers, see Part I, Item 1 of this 2013 Form 10-K under the caption Executive Officers of the Company, which information is incorporated into Part III by reference.
The information concerning our directors required by this Item is incorporated by reference to our Proxy Statement under the heading Proposal No. 1 Election of Directors.
The information concerning our audit committee and audit committee financial experts required by this Item is incorporated by reference to our Proxy Statement under the heading Corporate Governance.
The information concerning compliance by our officers, directors and 10% shareholders with Section 16 of the Exchange Act required by this Item is incorporated by reference to our Proxy Statement under the heading Section 16(a) Beneficial Ownership Reporting Compliance.
The Company has adopted a Corporate Code of Ethics that applies to all employees, officers, and directors of the Company. Our Code of Ethics is publicly available on the investor relations page of our website at http://investor.lamresearch.com. To the extent required by law, any amendments to, or waivers from, any provision of the Code of Ethics will promptly be disclosed to the public. To the extent permitted by applicable legal requirements, we intend to make any required public disclosure by posting the relevant material on our website in accordance with SEC rules.
Item 11. | Executive Compensation |
The information required by this Item is incorporated by reference to our Proxy Statement under the heading Executive Compensation and Other Information.
Item 12. | Security Ownership of Certain Beneficial Owners and Management and Related Stockholder Matters |
The information required by this Item is incorporated by reference to our Proxy Statement under the headings Proposal No. 1 Election of Directors, Compensation Committee Interlocks and Insider Participation, Compensation Committee Report, Security Ownership of Certain Beneficial Owners and Management and Securities Authorized for Issuance Under Equity Compensation Plans.
Item 13. | Certain Relationships and Related Transactions, and Director Independence |
The information required by this Item is incorporated by reference to our Proxy Statement under the headings Certain Relationships and Related Transactions and Corporate Governance.
Item 14. | Principal Accounting Fees and Services |
The information required by this Item is incorporated by reference to our Proxy Statement under the heading Relationship with Independent Registered Public Accounting Firm.
51
Item 15. | Exhibits, Financial Statement Schedules |
(a) | The following documents are filed as part of this Annual Report on Form 10-K |
1. Index to Financial Statements
Page | ||||
Consolidated Balance Sheets June 30, 2013 and June 24, 2012 |
53 | |||
Consolidated Statements of Operations Years Ended June 30, 2013, June 24, 2012 , and June 26, 2011 |
54 | |||
55 | ||||
Consolidated Statements of Cash Flows Years Ended June 30, 2013, June 24, 2012, and June 26, 2011 |
56 | |||
57 | ||||
58 | ||||
98 | ||||
2. Index to Financial Statement Schedules |
||||
103 | ||||
Schedules, other than those listed above, have been omitted since they are not applicable/not required, or the information is included elsewhere herein. |
||||
3. See (b) of this Item 15, which is incorporated herein by reference. |
(b) | The list of Exhibits follows page 104 of this 2013 Form 10-K and is incorporated herein by this reference. |
52
LAM RESEARCH CORPORATION
(in thousands, except per share data)
June 30, | June 24, | |||||||
2013 | 2012 | |||||||
ASSETS | ||||||||
Cash and cash equivalents |
$ | 1,162,473 | $ | 1,564,752 | ||||
Short-term investments |
1,334,745 | 1,297,931 | ||||||
Accounts receivable, less allowance for doubtful accounts of $5,448 as of June 30, 2013 and $5,248 as of June 24, 2012 |
602,624 | 765,818 | ||||||
Inventories |
559,317 | 632,853 | ||||||
Deferred income taxes |
27,674 | 47,782 | ||||||
Prepaid expenses and other current assets |
106,996 | 105,973 | ||||||
|
|
|
|
|||||
Total current assets |
3,793,829 | 4,415,109 | ||||||
Property and equipment, net |
603,910 | 584,596 | ||||||
Restricted cash and investments |
166,536 | 166,335 | ||||||
Goodwill |
1,452,196 | 1,446,303 | ||||||
Intangible assets, net |
1,074,345 | 1,240,427 | ||||||
Other assets |
159,499 | 151,882 | ||||||
|
|
|
|
|||||
Total assets |
$ | 7,250,315 | $ | 8,004,652 | ||||
|
|
|
|
|||||
LIABILITIES AND STOCKHOLDERS' EQUITY | ||||||||
Trade accounts payable |
$ | 200,254 | $ | 258,778 | ||||
Accrued expenses and other current liabilities |
464,528 | 492,178 | ||||||
Deferred profit |
225,038 | 164,833 | ||||||
Current portion of long-term debt, convertible notes, and capital leases |
514,655 | 511,139 | ||||||
|
|
|
|
|||||
Total current liabilities |
1,404,475 | 1,426,928 | ||||||
Long-term debt, convertible notes, and capital leases |
789,256 | 761,783 | ||||||
Income taxes payable |
246,479 | 274,240 | ||||||
Other long-term liabilities |
134,313 | 219,577 | ||||||
|
|
|
|
|||||
Total liabilities |
2,574,523 | 2,682,528 | ||||||
Commitments and contingencies |
||||||||
Senior convertible notes |
186,920 | 190,343 | ||||||
Stockholders' equity: |
||||||||
Preferred stock, at par value of $0.001 per share; authorized - 5,000 shares, none outstanding |
| | ||||||
Common stock, at par value of $0.001 per share; authorized - 400,000 shares; issued and outstanding - 162,873 shares at June 30, 2013 and 186,656 shares at June 24, 2012 |
163 | 187 | ||||||
Additional paid-in capital |
5,084,544 | 4,943,539 | ||||||
Treasury stock, at cost, 89,205 shares at June 30, 2013 and 62,068 shares at June 24, 2012 |
(3,539,830 | ) | (2,636,936 | ) | ||||
Accumulated other comprehensive loss |
(28,693 | ) | (33,818 | ) | ||||
Retained earnings |
2,972,688 | 2,858,809 | ||||||
|
|
|
|
|||||
Total stockholders equity |
4,488,872 | 5,131,781 | ||||||
|
|
|
|
|||||
Total liabilities and stockholders' equity |
$ | 7,250,315 | $ | 8,004,652 | ||||
|
|
|
|
See Notes to Consolidated Financial Statements
53
LAM RESEARCH CORPORATION
CONSOLIDATED STATEMENTS OF OPERATIONS
(in thousands, except per share data)
Year Ended | ||||||||||||
June 30, | June 24, | June 26, | ||||||||||
2013 | 2012 | 2011 | ||||||||||
Revenue |
$ | 3,598,916 | $ | 2,665,192 | $ | 3,237,693 | ||||||
Cost of goods sold |
2,195,857 | 1,581,123 | 1,740,461 | |||||||||
|
|
|
|
|
|
|||||||
Gross margin |
1,403,059 | 1,084,069 | 1,497,232 | |||||||||
Research and development |
683,688 | 444,559 | 373,293 | |||||||||
Selling, general and administrative |
599,487 | 400,052 | 308,075 | |||||||||
Restructuring charges, net |
1,813 | 1,725 | 11,579 | |||||||||
|
|
|
|
|
|
|||||||
Total operating expenses |
1,284,988 | 846,336 | 692,947 | |||||||||
|
|
|
|
|
|
|||||||
Operating income |
118,071 | 237,733 | 804,285 | |||||||||
Other expense, net |
(51,413 | ) | (33,315 | ) | (3,409 | ) | ||||||
|
|
|
|
|
|
|||||||
Income before income taxes |
66,658 | 204,418 | 800,876 | |||||||||
Income tax expense (benefit) |
(47,221 | ) | 35,695 | 77,128 | ||||||||
|
|
|
|
|
|
|||||||
Net income |
$ | 113,879 | $ | 168,723 | $ | 723,748 | ||||||
|
|
|
|
|
|
|||||||
Net income per share: |
||||||||||||
Basic net income per share |
$ | 0.67 | $ | 1.36 | $ | 5.86 | ||||||
|
|
|
|
|
|
|||||||
Diluted net income per share |
$ | 0.66 | $ | 1.35 | $ | 5.79 | ||||||
|
|
|
|
|
|
|||||||
Number of shares used in per share calculations: |
||||||||||||
Basic |
168,932 | 124,176 | 123,529 | |||||||||
|
|
|
|
|
|
|||||||
Diluted |
173,430 | 125,233 | 125,019 | |||||||||
|
|
|
|
|
|
See Notes to Consolidated Financial Statements
54
LAM RESEARCH CORPORATION
CONSOLIDATED STATEMENTS OF COMPREHENSIVE INCOME
(in thousands)
Year Ended | ||||||||||||
June 30, | June 24, | June 26, | ||||||||||
2013 | 2012 | 2011 | ||||||||||
Net income |
$ | 113,879 | $ | 168,723 | $ | 723,748 | ||||||
|
|
|
|
|
|
|||||||
Other comprehensive income (loss), net of tax: |
||||||||||||
Foreign currency translation adjustment |
5,303 | (37,332 | ) | 80,695 | ||||||||
Cash flow hedges: |
||||||||||||
Net unrealized gains (losses) during the period |
10,607 | (9,342 | ) | (5,134 | ) | |||||||
Net losses (gains) reclassified into earnings |
(7,573 | ) | 8,549 | 5,716 | ||||||||
|
|
|
|
|
|
|||||||
3,034 | (793 | ) | 582 | |||||||||
Available-for-sale investments: |
||||||||||||
Net unrealized gains (losses) during the period |
(3,844 | ) | (204 | ) | 185 | |||||||
Net losses (gains) reclassified into earnings |
4,137 | (849 | ) | (666 | ) | |||||||
|
|
|
|
|
|
|||||||
293 | (1,053 | ) | (481 | ) | ||||||||
Defined benefit plans, net change in unrealized component |
(3,505 | ) | (4,401 | ) | (1,186 | ) | ||||||
|
|
|
|
|
|
|||||||
Other comprehensive income (loss), net of tax |
5,125 | (43,579 | ) | 79,610 | ||||||||
|
|
|
|
|
|
|||||||
Comprehensive income |
$ | 119,004 | $ | 125,144 | $ | 803,358 | ||||||
|
|
|
|
|
|
See Notes to Consolidated Financial Statements
55
LAM RESEARCH CORPORATION
CONSOLIDATED STATEMENTS OF CASH FLOWS
(in thousands)
Year Ended | ||||||||||||
June 30, | June 24, | June 26, | ||||||||||
2013 | 2012 | 2011 | ||||||||||
CASH FLOWS FROM OPERATING ACTIVITIES: |
||||||||||||
Net income |
$ | 113,879 | $ | 168,723 | $ | 723,748 | ||||||
Adjustments to reconcile net income to net cash provided by operating activities: |
||||||||||||
Depreciation and amortization |
304,116 | 100,825 | 74,759 | |||||||||
Deferred income taxes |
(70,155 | ) | 42,446 | (10,721 | ) | |||||||
Restructuring charges, net |
1,813 | 866 | 11,579 | |||||||||
Impairment of investment |
3,711 | 1,724 | | |||||||||
Equity-based compensation expense |
99,330 | 81,559 | 53,012 | |||||||||
Income tax benefit on equity-based compensation plans |
(483 | ) | 1,510 | 28,775 | ||||||||
Excess tax benefit on equity-based compensation plans |
539 | (2,686 | ) | (23,290 | ) | |||||||
Amortization of convertible note discount |
31,558 | 27,028 | 3,554 | |||||||||
Other, net |
35,388 | 10,877 | (2,341 | ) | ||||||||
Changes in operating asset and liability accounts: |
||||||||||||
Accounts receivable, net of allowance |
162,634 | 66,064 | (89,716 | ) | ||||||||
Inventories |
76,351 | 73,987 | (77,461 | ) | ||||||||
Prepaid expenses and other assets |
2,880 | 43,171 | (25,282 | ) | ||||||||
Trade accounts payable |
(58,081 | ) | 12,145 | 42,320 | ||||||||
Deferred profit |
60,205 | (9,236 | ) | 34,012 | ||||||||
Accrued expenses and other liabilities |
(43,752 | ) | (119,975 | ) | 138,080 | |||||||
|
|
|
|
|
|
|||||||
Net cash provided by operating activities |
719,933 | 499,028 | 881,028 | |||||||||
|
|
|
|
|
|
|||||||
CASH FLOWS FROM INVESTING ACTIVITIES: |
||||||||||||
Capital expenditures and intangible assets |
(160,795 | ) | (107,272 | ) | (127,495 | ) | ||||||
Cash acquired in (paid for) business acquisition |
(9,916 | ) | 418,681 | | ||||||||
Purchases of available-for-sale securities |
(1,097,956 | ) | (883,429 | ) | (564,485 | ) | ||||||
Sales and maturities of available-for-sale securities |
1,039,551 | 841,440 | 210,962 | |||||||||
Purchase of equity method and other investments |
| (10,740 | ) | (417 | ) | |||||||
Receipt of loan payments (loans made) |
(10,000 | ) | 8,375 | | ||||||||
Proceeds from sale of assets |
660 | 2,677 | 1,544 | |||||||||
Transfer of restricted cash and investments |
(181 | ) | (6 | ) | (22 | ) | ||||||
|
|
|
|
|
|
|||||||
Net cash provided by (used for) investing activities |
(238,637 | ) | 269,726 | (479,913 | ) | |||||||
|
|
|
|
|
|
|||||||
CASH FLOWS FROM FINANCING ACTIVITIES: |
||||||||||||
Principal payments on long-term debt and capital lease obligations |
(2,234 | ) | (5,265 | ) | (4,530 | ) | ||||||
Net proceeds from issuance of long-term debt & convertible notes |
| | 882,831 | |||||||||
Proceeds from sale of warrants |
| | 133,830 | |||||||||
Purchase of convertible note hedge |
| | (181,125 | ) | ||||||||
Excess tax benefit on equity-based compensation plans |
(539 | ) | 2,686 | 23,290 | ||||||||
Treasury stock purchases |
(955,661 | ) | (772,663 | ) | (211,316 | ) | ||||||
Net cash received in settlement of (paid in advance for) stock repurchase contracts |
| 55,194 | (149,589 | ) | ||||||||
Reissuances of treasury stock related to employee stock purchase plan |
31,265 | 25,525 | 21,194 | |||||||||
Proceeds from issuance of common stock |
39,379 | 1,776 | 12,401 | |||||||||
|
|
|
|
|
|
|||||||
Net cash provided by (used for) financing activities |
(887,790 | ) | (692,747 | ) | 526,986 | |||||||
|
|
|
|
|
|
|||||||
Effect of exchange rate changes on cash |
4,215 | (3,387 | ) | 18,264 | ||||||||
Net increase (decrease) in cash and cash equivalents |
(402,279 | ) | 72,620 | 946,365 | ||||||||
Cash and cash equivalents at beginning of year |
1,564,752 | 1,492,132 | 545,767 | |||||||||
|
|
|
|
|
|
|||||||
Cash and cash equivalents at end of year |
$ | 1,162,473 | $ | 1,564,752 | $ | 1,492,132 | ||||||
|
|
|
|
|
|
|||||||
Schedule of noncash transactions |
||||||||||||
Accrued payables for stock repurchases |
$ | | $ | 20,853 | $ | | ||||||
|
|
|
|
|
|
|||||||
Supplemental disclosures: |
||||||||||||
Cash payments for interest |
$ | 26,635 | $ | 8,246 | $ | 232 | ||||||
|
|
|
|
|
|
|||||||
Cash payments for income taxes, net |
$ | 7,695 | $ | 29,113 | $ | 70,774 | ||||||
|
|
|
|
|
|
See Notes to Consolidated Financial Statements
56
LAM RESEARCH CORPORATION
CONSOLIDATED STATEMENTS OF STOCKHOLDERS' EQUITY
(in thousands)
Common Stock Shares |
Common Stock |
Additional Paid-in Capital |
Treasury Stock |
Accumulated Other Comprehensive Income(Loss) |
Retained Earnings |
Total | ||||||||||||||||||||||
Balance at June 27, 2010 |
125,946 | $ | 126 | $ | 1,452,939 | $ | (1,581,417 | ) | $ | (69,849 | ) | $ | 1,966,336 | $ | 1,768,135 | |||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|||||||||||||||
Sale of common stock |
1,744 | 2 | 12,404 | | | | 12,406 | |||||||||||||||||||||
Purchase of treasury stock |
(4,790 | ) | (5 | ) | (149,589 | ) | (197,840 | ) | | | (347,434 | ) | ||||||||||||||||
Income tax benefit on equity-based compensation plans |
| | 28,775 | | | | 28,775 | |||||||||||||||||||||
Reissuance of treasury stock |
679 | 1 | 3,549 | 17,666 | | 2 | 21,218 | |||||||||||||||||||||
Equity-based compensation expense |
| | 53,012 | | | | 53,012 | |||||||||||||||||||||
Issuance of convertible notes |
| | 110,655 | | | | 110,655 | |||||||||||||||||||||
Sale of warrants |
| | 133,830 | | | | 133,830 | |||||||||||||||||||||
Purchase of convertible note hedge |
| | (114,110 | ) | | | | (114,110 | ) | |||||||||||||||||||
Net income |
| | | | | 723,748 | 723,748 | |||||||||||||||||||||
Other comprehensive income |
| | | | 79,610 | | 79,610 | |||||||||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|||||||||||||||
Balance at June 26, 2011 |
123,579 | 124 | 1,531,465 | (1,761,591 | ) | 9,761 | 2,690,086 | 2,469,845 | ||||||||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|||||||||||||||
Sale of common stock |
1,513 | 1 | 1,767 | | | | 1,768 | |||||||||||||||||||||
Purchase of treasury stock |
(21,946 | ) | (22 | ) | 158,673 | (896,971 | ) | | | (738,320 | ) | |||||||||||||||||
Income tax benefit on equity-based compensation plans |
| | 1,510 | | | | 1,510 | |||||||||||||||||||||
Reissuance of treasury stock |
821 | 1 | 3,899 | 21,626 | | | 25,526 | |||||||||||||||||||||
Equity-based compensation expense |
| | 81,559 | | | | 81,559 | |||||||||||||||||||||
Shares issued as acquisition consideration |
82,689 | 83 | 3,026,905 | | | | 3,026,988 | |||||||||||||||||||||
Acquisition of convertible debt |
| | 137,783 | | | | 137,783 | |||||||||||||||||||||
Exercise of convertible note |
| | (22 | ) | | | | (22 | ) | |||||||||||||||||||
Net income |
| | | | | 168,723 | 168,723 | |||||||||||||||||||||
Other comprehensive income |
| | | | (43,579 | ) | | (43,579 | ) | |||||||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|||||||||||||||
Balance at June 24, 2012 |
186,656 | 187 | 4,943,539 | (2,636,936 | ) | (33,818 | ) | 2,858,809 | 5,131,781 | |||||||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|||||||||||||||
Sale of common stock |
3,301 | 3 | 39,377 | | | | 39,380 | |||||||||||||||||||||
Purchase of treasury stock |
(28,157 | ) | (28 | ) | | (934,780 | ) | | | (934,808 | ) | |||||||||||||||||
Income tax benefit on equity-based compensation plans |
| | (483 | ) | | | | (483 | ) | |||||||||||||||||||
Reissuance of treasury stock |
1,073 | 1 | (622 | ) | 31,886 | | | 31,265 | ||||||||||||||||||||
Equity-based compensation expense |
| | 99,310 | | | | 99,310 | |||||||||||||||||||||
Reclassification from temporary to permanent equity |
| | 3,423 | | | | 3,423 | |||||||||||||||||||||
Net income |
| | | | | 113,879 | 113,879 | |||||||||||||||||||||
Other comprehensive income |
| | | | 5,125 | | 5,125 | |||||||||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|||||||||||||||
Balance at June 30, 2013 |
162,873 | $ | 163 | $ | 5,084,544 | $ | (3,539,830 | ) | $ | (28,693 | ) | $ | 2,972,688 | $ | 4,488,872 | |||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
See Notes to Consolidated Financial Statements
57
NOTES TO CONSOLIDATED FINANCIAL STATEMENTS
JUNE 30, 2013
Note 1: Company and Industry Information
The Company designs, manufactures, markets, refurbishes and services semiconductor processing equipment used in the fabrication of integrated circuits. Semiconductor wafers are subjected to a complex series of process and preparation steps that result in the simultaneous creation of many individual integrated circuits. The Company leverages its expertise in the areas of etch, deposition, and single-wafer clean to develop processing solutions that typically benefit its customers through lower defect rates, enhanced yields, faster processing time, and reduced cost.
The Company sells its products and services primarily to companies involved in the production of semiconductors in North America, Europe, Taiwan, Korea, Japan, and other countries in Asia Pacific.
The semiconductor industry is cyclical in nature and has historically experienced periodic downturns and upturns. Todays leading indicators of changes in customer investment patterns, such as electronics demand, memory pricing, and foundry utilization rates, may not be any more reliable than in prior years. Demand for the Companys equipment can vary significantly from period to period as a result of various factors, including, but not limited to, economic conditions, supply, demand, and prices for semiconductors, customer capacity requirements, and the Companys ability to develop and market competitive products. For these and other reasons, the Companys results of operations for fiscal years 2013, 2012, and 2011 may not necessarily be indicative of future operating results.
Note 2: Summary of Significant Accounting Policies
The preparation of financial statements, in conformity with U.S. Generally Accepted Accounting Principles (GAAP), requires management to make judgments, estimates, and assumptions that could affect the reported amounts of assets and liabilities at the date of the financial statements and the reported amounts of revenue and expenses during the reporting period. The Company bases its estimates and assumptions on historical experience and on various other assumptions we believed to be applicable, and evaluated them on an on-going basis to ensure they remain reasonable under current conditions. Actual results could differ significantly from those estimates.
Revenue Recognition: The Company recognizes revenue when persuasive evidence of an arrangement exists, delivery has occurred and title has passed or services have been rendered, the selling price is fixed or determinable, collection of the receivable is reasonably assured, and the Company has received customer acceptance, completed its system installation obligations, or is otherwise released from its installation or customer acceptance obligations. If terms of the sale provide for a lapsing customer acceptance period, the Company recognizes revenue upon the expiration of the lapsing acceptance period or customer acceptance, whichever occurs first. If the practices of a customer do not provide for a written acceptance or the terms of sale do not include a lapsing acceptance provision, the Company recognizes revenue when it can be reliably demonstrated that the delivered system meets all of the agreed-to customer specifications. In situations with multiple deliverables, revenue is recognized upon the delivery of the separate elements to the customer and when the Company receives customer acceptance or is otherwise released from its customer acceptance obligations. Revenue from multiple-element arrangements is allocated among the separate elements based on their relative selling prices, provided the elements have value on a stand-alone basis. Our sales arrangements do not include a general right of return. The maximum revenue recognized on a delivered element is limited to the amount that is not contingent upon the delivery of additional items. Revenue related to sales of spare parts and system upgrade kits is generally recognized upon shipment. Revenue related to services is generally recognized upon completion of the services requested by a customer order. Revenue for extended maintenance service contracts with a fixed payment amount is recognized on a straight-line basis over the term of the contract. When goods or services have
58
been delivered to the customer but all conditions for revenue recognition have not been met, the Company defers revenue recognition until customer acceptance and records the deferred revenue and/or deferred costs of sales in deferred profit on the Consolidated Balance Sheet.
Inventory Valuation: Inventories are stated at the lower of cost or market using standard costs which generally approximate actual costs on a first-in, first-out basis. The Company maintains a perpetual inventory system and continuously records the quantity on-hand and standard cost for each product, including purchased components, subassemblies, and finished goods. The Company maintains the integrity of perpetual inventory records through periodic physical counts of quantities on hand. Finished goods are reported as inventories until the point of title transfer to the customer. Transfer of title for shipments to Japanese customers generally occurs at time of customer acceptance.
Standard costs are reassessed as needed but annually at a minimum, and reflect acquisition costs. Acquisition costs are generally based on the most recent vendor contract prices for purchased parts, normalized assembly and test labor utilization levels, methods of manufacturing, and normalized overhead. Manufacturing labor and overhead costs are attributed to individual product standard costs at a level planned to absorb spending at average utilization volumes.
Management evaluates the need to record adjustments for impairment of inventory at least quarterly. The Companys policy is to assess the valuation of all inventories including manufacturing raw materials, work-in-process, finished goods, and spare parts in each reporting period. Obsolete inventory or inventory in excess of managements estimated usage requirements over the next 12 to 36 months is written down to its estimated market value if less than cost. Estimates of market value include, but are not limited to, managements forecasts related to the Companys future manufacturing schedules, customer demand, technological and/or market obsolescence, general semiconductor market conditions, possible alternative uses, and ultimate realization of excess inventory. If future customer demand or market conditions are less favorable than the Companys projections, additional inventory write-downs may be required and would be reflected in cost of sales in the period the revision is made.
Warranty: Typically, the sale of semiconductor capital equipment includes providing parts and service warranty to customers as part of the overall price of the system. The Company provides standard warranties for its systems. The Company records a provision for estimated warranty expenses to cost of sales for each system upon revenue recognition. The amount recorded is based on an analysis of historical activity which uses factors such as type of system, customer, geographic region, and any known factors such as tool reliability trends. All actual or estimated parts and labor costs incurred in subsequent periods are charged to those established reserves on a system-by-system basis.
Actual warranty expenses are accounted for on a system-by-system basis and may differ from the Companys original estimates. While the Company periodically monitors the performance and cost of warranty activities, if actual costs incurred are different than its estimates, the Company may recognize adjustments to provisions in the period in which those differences arise or are identified. In addition to the provision of standard warranties, the Company offers customer-paid extended warranty services. Revenues for extended maintenance and warranty services with a fixed payment amount are recognized on a straight-line basis over the term of the contract. Related costs are recorded as incurred.
Equity-based Compensation Employee Stock Purchase Plan (ESPP) and Employee Stock Plans: The Company recognizes the fair value of equity-based awards as employee compensation expense. The fair value of the Companys restricted stock units was calculated based upon the fair market value of Company stock at the date of grant. The fair value of the Companys stock options and ESPP awards was estimated using a Black-Scholes option valuation model. This model requires the input of highly subjective assumptions, including expected stock price volatility and the estimated life of each award. The fair value of equity-based awards is amortized over the vesting period of the award and the Company has elected to use the straight-line method of amortization.
59
Income Taxes: Deferred income taxes reflect the net effect of temporary differences between the carrying amount of assets and liabilities for financial reporting purposes and the amounts used for income tax purposes, as well as the tax effect of carryforwards. The Company records a valuation allowance to reduce its deferred tax assets to the amount that is more-likely-than-not to be realized. Realization of the Companys net deferred tax assets is dependent on future taxable income. The Company believes it is more-likely-than-not that such assets will be realized; however, ultimate realization could be negatively impacted by market conditions and other variables not known or anticipated at the time. In the event that the Company determines that it would not be able to realize all or part of its net deferred tax assets, an adjustment would be charged to earnings in the period such determination is made. Likewise, if the Company later determined that it is more-likely-than-not that the deferred tax assets would be realized, then the previously provided valuation allowance would be reversed.
The Company recognizes the benefit from a tax position only if it is more-likely-than-not that the position would be sustained upon audit based solely on the technical merits of the tax position. Our policy is to include interest and penalties related to unrecognized tax benefits as a component of income tax expense. The Company must make certain estimates and judgments in determining income tax expense for financial statement purposes. These estimates and judgments occur in the calculation of tax credits, benefits, and deductions, and in the calculation of certain tax assets and liabilities, which arise from differences in the timing of recognition of revenue and expense for tax and financial statement purposes, as well as the interest and penalties relating to these uncertain tax positions. Significant changes to these estimates may result in an increase or decrease to our tax provision in a subsequent period.
In addition, the calculation of the Companys tax liabilities involves uncertainties in the application of complex tax regulations. The Company recognizes liabilities for uncertain tax positions based on the two-step process. The first step is to evaluate the tax position for recognition by determining if the weight of available evidence indicates that it is more-likely-than-not that the position will be sustained on tax audit, including resolution of related appeals or litigation processes, if any. The second step requires the Company to estimate and measure the tax benefit as the largest amount that is more-likely-than-not to be realized upon ultimate settlement. It is inherently difficult and subjective to estimate such amounts, as this requires us to determine the probability of various possible outcomes. The Company reevaluates these uncertain tax positions on a quarterly basis. This evaluation is based on factors including, but not limited to, changes in facts or circumstances, changes in tax law, effectively settled issues under audit, and new audit activity. Such a change in recognition or measurement would result in the recognition of a tax benefit or an additional charge to the tax provision in the period such determination is made.
Goodwill and Intangible Assets: The valuation of intangible assets acquired in a business combination requires the use of management estimates including but not limited to estimating future expected cash flows from assets acquired and determining discount rates. Managements estimates of fair value are based upon assumptions believed to be reasonable, but which are inherently uncertain and unpredictable and, as a result, actual results may differ from estimates. Estimates associated with the accounting for acquisitions may change as additional information becomes available.
Goodwill represents the amount by which the purchase price of a business combination exceeds the fair value of the net tangible and identifiable intangible assets acquired. Each component of the Company for which discrete financial information is available and for which segment management regularly reviews the results of operations is considered a reporting unit. All goodwill acquired in a business combination is assigned to one or more reporting units as of the acquisition date. Goodwill is assigned to the Companys reporting units that are expected to benefit from the synergies of the combination. The goodwill assigned to a reporting unit is the difference between the acquisition consideration assigned to the reporting unit on a relative fair value basis and the fair value of acquired assets and liabilities that can be specifically attributed to the reporting unit. The Company tests goodwill and identifiable intangible assets with indefinite useful lives for impairment at least annually. The value intangible assets with estimable useful lives is amortized over their respective estimated useful lives, and the Company reviews for impairment whenever events or changes in circumstances indicate that the carrying amount of the intangible asset may not be recoverable and the carrying amount exceeds its fair value.
60
The Company reviews goodwill at least annually for impairment. Should certain events or indicators of impairment occur between annual impairment tests, the Company would perform an impairment test of goodwill at that date. In testing for a potential impairment of goodwill, the Company: (1) allocates goodwill to our reporting units to which the acquired goodwill relates; (2) estimates the fair value of its reporting units; and (3) determines the carrying value (book value) of those reporting units. Prior to this allocation of the assets to the reporting units, the Company is required to assess long-lived assets for impairment. Furthermore, if the estimated fair value of a reporting unit is less than the carrying value, the Company must estimate the fair value of all identifiable assets and liabilities of that reporting unit, in a manner similar to a purchase price allocation for an acquired business. This can require independent valuations of certain internally generated and unrecognized intangible assets such as in-process research and development and developed technology. Only after this process is completed can the amount of goodwill impairment, if any, be determined. Beginning with its fiscal year 2012 goodwill impairment analysis, the Company adopted new accounting guidance that allowed it to first assess qualitative factors to determine whether it was necessary to perform a quantitative analysis. Under the revised guidance, an entity no longer required to calculate the fair value of a reporting unit unless the entity determines, based on a qualitative assessment, that it is more-likely-than-not that its fair value is less than its carrying amount. The Company did not record impairments of goodwill during the years ended June 30, 2013, June 24, 2012, or June 26, 2011.
The process of evaluating the potential impairment of goodwill is subjective and requires significant judgment at many points during the analysis. The Company determines the fair value of its reporting units by using a weighted combination of both a market and an income approach, as this combination is deemed to be the most indicative of our fair value in an orderly transaction between market participants.
Under the market approach, the Company utilizes information regarding the reporting unit as well as publicly available industry information to determine various financial multiples to value our reporting units. Under the income approach, the Company determines fair value based on estimated future cash flows of each reporting unit, discounted by an estimated weighted-average cost of capital, which reflects the overall level of inherent risk of a reporting unit and the rate of return an outside investor would expect to earn.
In estimating the fair value of a reporting unit for the purposes of the Companys annual or periodic analyses, the Company makes estimates and judgments about the future cash flows of its reporting units, including estimated growth rates and assumptions about the economic environment. Although the Companys cash flow forecasts are based on assumptions that are consistent with the plans and estimates it is using to manage the underlying businesses, there is significant judgment involved in determining the cash flows attributable to a reporting unit. In addition, the Company makes certain judgments about allocating shared assets to the estimated balance sheets of our reporting units. The Company also considers its market capitalization and that of its competitors on the date it performs the analysis. Changes in judgment on these assumptions and estimates could result in a goodwill impairment charge.
As a result, several factors could result in impairment of a material amount of the Companys goodwill balance in future periods, including, but not limited to: (1) weakening of the global economy, weakness in the semiconductor equipment industry, or failure of the Company to reach its internal forecasts, which could impact the Companys ability to achieve its forecasted levels of cash flows and reduce the estimated discounted cash flow value of its reporting units; and (2) a decline in the Companys stock price and resulting market capitalization, if the Company determines that the decline is sustained and indicates a reduction in the fair value of the Companys reporting units below their carrying value. Further, the value assigned to intangible assets, other than goodwill, is based on estimates and judgments regarding expectations such as the success and life cycle of products and technology acquired. If actual product acceptance differs significantly from the estimates, the Company may be required to record an impairment charge to write down the asset to its realizable value.
Fiscal Year: The Company follows a 52/53-week fiscal reporting calendar, and its fiscal year ends on the last Sunday of June each year. The Companys most recent fiscal year ended on June 30, 2013 and included 53
61
weeks. The fiscal years ended June 24, 2012 and June 26, 2011 included 52 weeks. The Companys next fiscal year, ending on June 29, 2014 will include 52 weeks.
Principles of Consolidation: The consolidated financial statements include the accounts of the Company and its wholly-owned subsidiaries. All intercompany accounts and transactions have been eliminated in consolidation.
Cash Equivalents and Short-Term Investments: Investments purchased with an original maturity of three months or less are considered cash equivalents. The Company also invests in certain mutual funds, which include equity and fixed income securities, related to its obligations under its deferred compensation plan, and such investments are classified as trading securities on the consolidated balance sheets. All of the Companys other short-term investments are classified as available-for-sale at the respective balance sheet dates. The Company accounts for its investment portfolio at fair value. Investments classified as trading securities are recorded at fair value based upon quoted market prices. Differences between the cost and fair value of trading securities are recognized as Other income (expense) in the Consolidated Statement of Operations. The investments classified as available-for-sale are recorded at fair value based upon quoted market prices, and temporary difference between the cost and fair value of available-for-sale securities is presented as a separate component of accumulated other comprehensive income (loss). Unrealized losses on available-for-sale securities are charged against Other income (expense) when a decline in fair value is determined to be other-than-temporary. The Company considers several factors to determine whether a loss is other-than-temporary. These factors include but are not limited to: (i) the extent to which the fair value is less than cost basis, (ii) the financial condition and near term prospects of the issuer, (iii) the length of time a security is in an unrealized loss position and (iv) the Companys ability to hold the security for a period of time sufficient to allow for any anticipated recovery in fair value. The Companys ongoing consideration of these factors could result in additional impairment charges in the future, which could adversely affect its results of operation. An other-than-temporary impairment is triggered when there is an intent to sell the security, it is more-likely-than-not that the security will be required to be sold before recovery, or the security is not expected to recover the entire amortized cost basis of the security. Other-than-temporary impairments attributed to credit losses are recognized in the income statement. The specific identification method is used to determine the realized gains and losses on investments.
Allowance for Doubtful Accounts: The Company evaluates its allowance for doubtful accounts based on a combination of factors. In circumstances where specific invoices are deemed to be uncollectible, the Company provides a specific allowance for bad debt against the amount due to reduce the net recognized receivable to the amount it reasonably believes will be collected. The Company also provides allowances based on its write-off history.
Property and Equipment: Property and equipment is stated at cost. Equipment is depreciated by the straight-line method over the estimated useful lives of the assets, generally three to eight years. Furniture and fixtures are depreciated by the straight-line method over the estimated useful lives of the assets, generally five years. Software is amortized by the straight-line method over the estimated useful lives of the assets, generally three to five years. Buildings are depreciated by the straight-line method over the estimated useful lives of the assets, generally twenty-five to thirty-three years. Leasehold improvements are generally amortized by the straight-line method over the shorter of the life of the related asset or the term of the underlying lease. Amortization of capital leases is included with depreciation expense.
Impairment of Long-Lived Assets (Excluding Goodwill and Intangibles): The Company routinely considers whether indicators of impairment of long-lived assets are present. If such indicators are present, the Company determines whether the sum of the estimated undiscounted cash flows attributable to the assets is less than their carrying value. If the sum is less, the Company recognizes an impairment loss based on the excess of the carrying amount of the assets over their respective fair values. Fair value is determined by discounted future cash flows, appraisals or other methods. If the assets determined to be impaired are to be held and used, the Company recognizes an impairment charge to the extent the present value of anticipated net cash flows attributable to the
62
asset are less than the assets carrying value. The fair value of the asset then becomes the assets new carrying value, which the Company depreciates over the remaining estimated useful life of the asset. Assets to be disposed of are reported at the lower of the carrying amount or fair value. The Company did not record impairments of long lived assets held for use during fiscal years 2013, 2012, or 2011.
Derivative Financial Instruments: In the normal course of business, the Companys financial position is routinely subjected to market risk associated with foreign currency exchange rate fluctuations. The Companys policy is to mitigate the effect of these exchange rate fluctuations on certain foreign currency denominated business exposures. The Company has a policy that allows the use of derivative financial instruments to hedge foreign currency exchange rate fluctuations on forecasted revenue and expenses and net monetary assets or liabilities denominated in various foreign currencies. The Company carries derivative financial instruments (derivatives) on the balance sheet at their fair values. The Company does not use derivatives for trading or speculative purposes. The Company does not believe that it is exposed to more than a nominal amount of credit risk in its interest rate and foreign currency hedges, as counterparties are large, global and well-capitalized financial institutions. The Companys exposures are in liquid currencies (Japanese yen, Swiss francs, euros, Taiwanese dollars, and Korean won), so there is minimal risk that appropriate derivatives to maintain the Companys hedging program would not be available in the future.
To hedge foreign currency risks, the Company uses foreign currency exchange forward contracts, where possible and prudent. These forward contracts are valued using standard valuation formulas with assumptions about future foreign currency exchange rates derived from existing exchange rates,interest rates, and other market factors.
The Company considers its most current forecast in determining the level of foreign currency denominated revenue and expenses to hedge as cash flow hedges. The Company combines these forecasts with historical trends to establish the portion of its expected volume to be hedged. The revenue and expenses are hedged and designated as cash flow hedges to protect the Company from exposures to fluctuations in foreign currency exchange rates. If the underlying forecasted transaction does not occur, or it becomes probable that it will not occur, the related hedge gains and losses on the cash flow hedge are reclassified from accumulated other comprehensive income (loss) to interest and other income (expense) on the consolidated statement of operations at that time.
Guarantees: The Company has certain operating leases that contain provisions whereby the properties subject to the operating leases may be remarketed at lease expiration. The Company has guaranteed to the lessor an amount approximating the lessors investment in the property. The Company has recorded a liability for certain guaranteed residual values related to these specific operating lease agreements. Also, the Companys guarantees generally include certain indemnifications to its lessors under operating lease agreements for environmental matters, potential overdraft protection obligations to financial institutions related to one of the Companys subsidiaries, indemnifications to the Companys customers for certain infringement of third-party intellectual property rights by its products and services, and the Companys warranty obligations under sales of its products.
Foreign Currency Translation: The Companys non-U.S. subsidiaries that operate in a local currency environment, where that local currency is the functional currency, primarily generate and expend cash in their local currency. Billings and receipts for their labor and services are primarily denominated in the local currency, and the workforce is paid in local currency. Accordingly, all balance sheet accounts of these local functional currency subsidiaries are translated at the fiscal period-end exchange rate, and income and expense accounts are translated using average rates in effect for the period, except for costs related to those balance sheet items that are translated using historical exchange rates. The resulting translation adjustments are recorded as cumulative translation adjustments and are a component of accumulated other comprehensive income (loss). Translation adjustments are recorded in other income (expense), net, where the U.S. dollar is the functional currency.
63
Note 3: Recent Accounting Pronouncements
In June 2011, the Financial Accounting Standards Board (FASB) issued new authoritative guidance that increases the prominence of items reported in other comprehensive income (OCI) by eliminating the option to present components of OCI as part of the statement of changes in stockholders equity. The amendments in this standard require that all non-owner changes in stockholders equity be presented either in a single continuous statement of comprehensive income or in two separate but consecutive statements. The Company adopted this guidance in the September 2012 quarter. The implementation of this authoritative guidance did not have an impact on the Companys financial position or results of operations, but did change the presentation of the Companys financial statements.
In February 2013, the FASB issued an accounting standard update regarding the reporting of amounts reclassified out of accumulated other comprehensive income. The February 2013 update does not change the current requirements for reporting net income or other comprehensive income in financial statements. However, this update requires an entity to present on the face of the financial statements or in the notes amounts reclassified from each component of accumulated other comprehensive income and the income statement line items affected by the reclassification. As allowed in the update, the Company elected to early adopt these disclosure amendments in the quarter ended March 31, 2013. The implementation of this update did not impact the Companys financial position, results of operations or cash flows as it was disclosure-only in nature.
In July 2013, the FASB released Accounting Standards Update 2013-11 "Presentation of an Unrecognized Tax Benefit When a Net Operating Loss Carryforward, a Similar Tax Loss, or a Tax Credit Carryforward Exists". The new standard requires that an unrecognized tax benefit should be presented as a reduction of a deferred tax asset for a net operating loss carryforward or other tax credit carryforward when settlement in this manner is available under the tax law. The Company is required to adopt this standard starting fiscal year 2015 and is currently in the process of determining the impact, if any, on its financial position.
Note 4: Financial Instruments
Fair Value
The Company defines fair value as the price that would be received from selling an asset or paid to transfer a liability in an orderly transaction between market participants at the measurement date. When determining the fair value measurements for assets and liabilities required or permitted to be recorded at fair value, the Company considers the principal or most advantageous market in which it would transact, and it considers assumptions that market participants would use when pricing the asset or liability.
A fair value hierarchy has been established that prioritizes the inputs to valuation techniques used to measure fair value. The level of an asset or liability in the hierarchy is based on the lowest level of input that is significant to the fair value measurement. Assets and liabilities carried at fair value are classified and disclosed in one of the following three categories:
Level 1: Valuations based on quoted prices in active markets for identical assets or liabilities with sufficient volume and frequency of transactions.
Level 2: Valuations based on observable inputs other than Level 1 prices such as quoted prices for similar assets or liabilities, quoted prices in markets that are not active, or model-derived valuations techniques for which all significant inputs are observable in the market or can be corroborated by, observable market data for substantially the full term of the assets or liabilities.
Level 3: Valuations based on unobservable inputs to the valuation methodology that are significant to the measurement of fair value of assets or liabilities and based on non-binding, broker-provided price quotes and may not have been corroborated by observable market data.
64
The following table sets forth the Companys financial assets and liabilities measured at fair value on a recurring basis:
Fair Value Measurement at June 30, 2013 | ||||||||||||||||
Total | Quoted Prices in Active Markets for Identical Assets (Level 1) |
Significant Other Observable Inputs (Level 2) |
Significant Unobservable Inputs (Level 3) |
|||||||||||||
(In thousands) | ||||||||||||||||
Assets |
||||||||||||||||
Short-Term Investments |
||||||||||||||||
Money Market Funds |
$ | 725,311 | $ | 725,311 | $ | | $ | | ||||||||
Municipal Notes and Bonds |
268,746 | | 268,746 | | ||||||||||||
US Treasury and Agencies |
155,293 | 155,293 | | | ||||||||||||
Government-Sponsored Enterprises |
54,805 | | 54,805 | | ||||||||||||
Foreign Government Bonds |
24,972 | | 24,972 | | ||||||||||||
Corporate Notes and Bonds |
860,492 | 164,885 | 695,607 | | ||||||||||||
Mortgage Backed Securities - Residential |
27,365 | | 27,365 | | ||||||||||||
Mortgage Backed Securities - Commercial |
107,958 | | 107,958 | | ||||||||||||
|
|
|
|
|
|
|
|
|||||||||
Total Short-Term Investments |
$ | 2,224,942 | $ | 1,045,489 | $ | 1,179,453 | $ | | ||||||||
Equities |
7,096 | 7,096 | | | ||||||||||||
Mutual Funds |
18,216 | 18,216 | | | ||||||||||||
Derivatives Assets |
4,929 | | 4,929 | | ||||||||||||
|
|
|
|
|
|
|
|
|||||||||
Total |
$ | 2,255,183 | $ | 1,070,801 | $ | 1,184,382 | $ | | ||||||||
|
|
|
|
|
|
|
|
|||||||||
Liabilities |
||||||||||||||||
Derivative liabilities |
$ | 1,815 | $ | | $ | 1,620 | $ | 195 | ||||||||
|
|
|
|
|
|
|
|
The amounts in the table above are reported in the consolidated balance sheet as of June 30, 2013 as follows:
Reported As: | Total | (Level 1) | (Level 2) | (Level 3) | ||||||||||||
(In thousands) | ||||||||||||||||
Cash Equivalents |
$ | 725,311 | $ | 725,311 | $ | | $ | | ||||||||
Short-Term Investments |
1,334,746 | 155,293 | 1,179,453 | | ||||||||||||
Restricted Cash and Investments |
164,885 | 164,885 | | | ||||||||||||
Prepaid Expenses and Other Current Assets |
4,929 | | 4,929 | | ||||||||||||
Other Assets |
25,312 | 25,312 | | | ||||||||||||
|
|
|
|
|
|
|
|
|||||||||
Total |
$ | 2,255,183 | $ | 1,070,801 | $ | 1,184,382 | $ | | ||||||||
|
|
|
|
|
|
|
|
|||||||||
Accrued Expenses and Other Current Liabilities |
$ | 1,620 | $ | | $ | 1,620 | $ | | ||||||||
Other Non-current Liabilities |
195 | | | 195 | ||||||||||||
|
|
|
|
|
|
|
|
|||||||||
Total Liabilities |
$ | 1,815 | $ | | $ | 1,620 | $ | 195 | ||||||||
|
|
|
|
|
|
|
|
65
The following table sets forth the Companys financial assets and liabilities measured at fair value on a recurring basis:
Fair Value Measurement at June 24, 2012 | ||||||||||||||||
Total | Quoted Prices in Active Markets for Identical Assets (Level 1) |
Significant Other Observable Inputs (Level 2) |
Significant Unobservable Inputs (Level 3) |
|||||||||||||
(In thousands) | ||||||||||||||||
Assets |
||||||||||||||||
Short-Term Investments |
||||||||||||||||
Money Market Funds |
$ | 1,318,812 | $ | 1,318,812 | $ | | $ | | ||||||||
Municipal Notes and Bonds |
322,567 | | 322,567 | | ||||||||||||
US Treasury and Agencies |
137,446 | 130,624 | 6,822 | | ||||||||||||
Government-Sponsored Enterprises |
123,268 | | 123,268 | | ||||||||||||
Foreign Government Bonds |
6,358 | | 6,358 | | ||||||||||||
Corporate Notes and Bonds |
768,901 | 164,885 | 604,016 | | ||||||||||||
Mortgage Backed Securities - Residential |
25,972 | | 25,972 | | ||||||||||||
Mortgage Backed Securities - Commercial |
84,853 | | 84,853 | | ||||||||||||
|
|
|
|
|
|
|
|
|||||||||
Total Short-Term Investments |
$ | 2,788,177 | $ | 1,614,321 | $ | 1,173,856 | $ | | ||||||||
Equities |
5,913 | 5,913 | | | ||||||||||||
Mutual Funds |
17,754 | 17,754 | | | ||||||||||||
Derivatives Assets |
5,020 | | 5,020 | | ||||||||||||
|
|
|
|
|
|
|
|
|||||||||
Total |
$ | 2,816,864 | $ | 1,637,988 | $ | 1,178,876 | $ | | ||||||||
|
|
|
|
|
|
|
|
|||||||||
Liabilities |
||||||||||||||||
Derivative liabilities |
$ | 4,529 | $ | | $ | 4,328 | $ | 201 | ||||||||
|
|
|
|
|
|
|
|
The amounts in the table above are reported in the consolidated balance sheet as of June 24, 2012 as follows:
Reported As: | Total | (Level 1) | (Level 2) | (Level 3) | ||||||||||||
(In thousands) | ||||||||||||||||
Cash Equivalents |
$ | 1,325,361 | $ | 1,318,812 | $ | 6,549 | $ | | ||||||||
Short-Term Investments |
1,297,931 | 130,624 | 1,167,307 | | ||||||||||||
Restricted Cash and Investments |
164,885 | 164,885 | | | ||||||||||||
Prepaid Expenses and Other Current Assets |
5,020 | | 5,020 | | ||||||||||||
Other Assets |
23,667 | 23,667 | | | ||||||||||||
|
|
|
|
|
|
|
|
|||||||||
Total |
$ | 2,816,864 | $ | 1,637,988 | $ | 1,178,876 | $ | | ||||||||
|
|
|
|
|
|
|
|
|||||||||
Accrued Expenses and Other Current Liabilities |
$ | 4,328 | $ | | $ | 4,328 | $ | | ||||||||
Other Non-current Liabilities |
201 | | | 201 | ||||||||||||
|
|
|
|
|
|
|
|
|||||||||
Total Liabilities |
$ | 4,529 | $ | | $ | 4,328 | $ | 201 | ||||||||
|
|
|
|
|
|
|
|
The Companys primary financial instruments include its cash, cash equivalents, short-term investments, restricted cash and investments, long-term investments, accounts receivable, accounts payable, long-term debt and capital leases, and foreign currency related derivatives. The estimated fair value of cash, accounts receivable and accounts payable approximates their carrying value due to the short period of time to their maturities. The estimated fair values of capital lease obligations approximate their carrying value as the substantial majority of these obligations have interest rates that adjust to market rates on a periodic basis. Refer to Note 13 of the Notes to the Consolidated Financial Statements for additional information regarding the fair value of the Companys convertible notes.
66
Investments
The following tables summarize the Companys investments (in thousands):
June 30, 2013 | June 24, 2012 | |||||||||||||||||||||||||||||||
Cost | Unrealized Gain |
Unrealized (Loss) |
Fair Value | Cost | Unrealized Gain |
Unrealized (Loss) |
Fair Value | |||||||||||||||||||||||||
Cash |
$ | 438,813 | $ | | $ | | $ | 438,813 | $ | 240,841 | $ | | $ | | $ | 240,841 | ||||||||||||||||
Fixed Income Money Market Funds |
725,311 | | | 725,311 | 1,318,812 | | | 1,318,812 | ||||||||||||||||||||||||
Municipal Notes and Bonds |
268,390 | 805 | (449 | ) | 268,746 | 321,001 | 1,574 | (8 | ) | 322,567 | ||||||||||||||||||||||
US Treasury and Agencies |
155,648 | 18 | (373 | ) | 155,293 | 137,516 | 43 | (113 | ) | 137,446 | ||||||||||||||||||||||
Government-Sponsored Enterprises |
54,835 | 65 | (95 | ) | 54,805 | 123,269 | 67 | (68 | ) | 123,268 | ||||||||||||||||||||||
Foreign Government Bonds |
24,950 | 47 | (25 | ) | 24,972 | 6,315 | 43 | | 6,358 | |||||||||||||||||||||||
Corporate Notes and Bonds |
861,109 | 1,328 | (1,945 | ) | 860,492 | 767,847 | 1,443 | (389 | ) | 768,901 | ||||||||||||||||||||||
Mortgage Backed Securities - Residential |
27,618 | 29 | (282 | ) | 27,365 | 25,857 | 121 | (6 | ) | 25,972 | ||||||||||||||||||||||
Mortgage Backed Securities - Commercial |
108,204 | 426 | (672 | ) | 107,958 | 84,682 | 555 | (384 | ) | 84,853 | ||||||||||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|||||||||||||||||
Total Cash and Short -Term Investments |
$ | 2,664,878 | $ | 2,718 | $ | (3,841 | ) | $ | 2,663,755 | $ | 3,026,140 | $ | 3,846 | $ | (968 | ) | $ | 3,029,018 | ||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|||||||||||||||||
Publicly Traded Equity Securities |
$ | 5,610 | $ | 1,486 | $ | | $ | 7,096 | $ | 9,320 | $ | | $ | (3,407 | ) | $ | 5,913 | |||||||||||||||
Private Equity Securities |
5,000 | | | 5,000 | 5,000 | | | 5,000 | ||||||||||||||||||||||||
Mutual Funds |
16,611 | 1,619 | (14 | ) | 18,216 | 17,459 | 366 | (71 | ) | 17,754 | ||||||||||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|||||||||||||||||
Total Financial Instruments |
$ | 2,692,099 | $ | 5,823 | $ | (3,855 | ) | $ | 2,694,067 | $ | 3,057,919 | $ | 4,212 | $ | (4,446 | ) | $ | 3,057,685 | ||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|||||||||||||||||
As Reported |
||||||||||||||||||||||||||||||||
Cash and Cash Equivalents |
$ | 1,162,473 | $ | | $ | | $ | 1,162,473 | $ | 1,564,752 | $ | | $ | | $ | 1,564,752 | ||||||||||||||||
Short-Term Investments |
1,335,868 | 2,718 | (3,841 | ) | 1,334,745 | 1,295,053 | 3,846 | (968 | ) | 1,297,931 | ||||||||||||||||||||||
Restricted Cash and Investments |
166,536 | | | 166,536 | 166,335 | | | 166,335 | ||||||||||||||||||||||||
Other Assets |
27,222 | 3,105 | (14 | ) | 30,313 | 31,779 | 366 | (3,478 | ) | 28,667 | ||||||||||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|||||||||||||||||
Total |
$ | 2,692,099 | $ | 5,823 | $ | (3,855 | ) | $ | 2,694,067 | $ | 3,057,919 | $ | 4,212 | $ | (4,446 | ) | $ | 3,057,685 | ||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
The Company accounts for its investment portfolio at fair value. Realized gains (losses) for investment sales are specifically identified. Management assesses the fair value of investments in debt securities that are not actively traded through consideration of interest rates and their impact on the present value of the cash flows to be received from the investments. The Company also considers whether changes in the credit ratings of the issuer could impact the assessment of fair value. Net realized gains (losses) on investments included other-than-temporary impairment charges of $3.7 million, $1.7 million, and $0 million in fiscal years 2013, 2012, and 2011, respectively. Additionally, gross realized gains/(losses) from sales of investments were approximately $1.6 million and $(1.5) million in fiscal year 2013, $1.4 million and $(1.0) million in fiscal year 2012, and $0.7 million and $(0.3) million in fiscal year 2011, respectively.
67
The following is an analysis of the Companys fixed income securities in unrealized loss positions (in thousands):
June 30, 2013 | ||||||||||||||||||||||||
UNREALIZED LOSSES | UNREALIZED LOSSES | |||||||||||||||||||||||
LESS THAN 12 MONTHS | 12 MONTHS OR GREATER | TOTAL | ||||||||||||||||||||||
Fair Value | Unrealized | Fair Value | Unrealized | Fair Value | Unrealized | |||||||||||||||||||
Fixed Income Securities |
||||||||||||||||||||||||
Municipal Notes and Bonds |
$ | 65,792 | $ | (449 | ) | $ | | $ | | $ | 65,792 | $ | (449 | ) | ||||||||||
US Treasury and Agencies |
116,312 | (373 | ) | | | 116,312 | (373 | ) | ||||||||||||||||
Government-Sponsored Enterprises |
14,929 | (95 | ) | | | 14,929 | (95 | ) | ||||||||||||||||
Foregin Government Bonds |
13,700 | (25 | ) | | | 13,700 | (25 | ) | ||||||||||||||||
Corporate Notes and Bonds |
390,119 | (1,918 | ) | 895 | (27 | ) | 391,014 | (1,945 | ) | |||||||||||||||
Mortgage Backed Securities - Residential |
24,952 | (282 | ) | | | 24,952 | (282 | ) | ||||||||||||||||
Mortgage Backed Securities - Commercial |
69,357 | (579 | ) | 4,158 | (93 | ) | 73,515 | (672 | ) | |||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|||||||||||||
Total Fixed Income |
$ | 695,161 | $ | (3,721 | ) | $ | 5,053 | $ | (120 | ) | $ | 700,214 | $ | (3,841 | ) | |||||||||
|
|
|
|
|
|
|
|
|
|
|
|
The amortized cost and fair value of cash equivalents, short-term investments, and restricted cash and investments with contractual maturities are as follows:
Cost | Estimated Fair Value |
|||||||
(in thousands) | ||||||||
Due in one year or less |
$ | 1,171,873 | $ | 1,172,331 | ||||
Due after one year through five years |
888,904 | 887,858 | ||||||
Due in more than five years |
165,288 | 164,753 | ||||||
|
|
|
|
|||||
$ | 2,226,065 | $ | 2,224,942 | |||||
|
|
|
|
Management has the ability, if necessary, to liquidate any of its cash equivalents and short-term investments in order to meet the Companys liquidity needs in the next 12 months. Accordingly, those investments with contractual maturities greater than one year from the date of purchase nonetheless are classified as short-term on the accompanying Consolidated Balance Sheets.
Derivative Instruments and Hedging
The Company carries derivative financial instruments (derivatives) on its Consolidated Balance Sheets at their fair values. The Company enters into foreign currency forward contracts with financial institutions with the primary objective of reducing volatility of earnings and cash flows related to foreign currency exchange rate fluctuations. The counterparties to these foreign currency forward contracts are large global financial institutions that the Company believes are creditworthy, and therefore, we do not consider the risk of counterparty nonperformance to be material.
Cash Flow Hedges
The Companys financial position is routinely subjected to market risk associated with foreign currency exchange rate fluctuations on non-US dollar transactions or cash flows, primarily from Japanese yen-denominated revenues and euro-denominated expenses. The Companys policy is to mitigate the foreign exchange risk arising from the fluctuations in the value of these non-US dollar denominated transactions or cash flows through a foreign currency cash flow hedging program, using foreign currency forward contracts that
68
generally expire within 12 months and no later than 24 months. These foreign currency forward contracts are designated as cash flow hedges and are carried on the Companys balance sheet at fair value with the effective portion of the contracts gains or losses included in accumulated other comprehensive income (loss) and subsequently recognized in revenue/expense in the same period the hedged items are recognized.
At inception and at each quarter end, hedges are tested prospectively and retrospectively for effectiveness using regression analysis. Changes in the fair value of foreign currency forward contracts due to changes in time value are excluded from the assessment of effectiveness and are recognized in revenue in the current period. The change in time value related to these contracts was not material for all reported periods. To qualify for hedge accounting, the hedge relationship must meet criteria relating both to the derivative instrument and the hedged item. These criteria include identification of the hedging instrument, the hedged item, the nature of the risk being hedged and how the hedging instruments effectiveness in offsetting the exposure to changes in the hedged items fair value or cash flows will be measured. There were no gains or losses during the twelve months ended June 30, 2013 or June 24, 2012 associated with ineffectiveness or forecasted transactions that failed to occur.
To receive hedge accounting treatment, all hedging relationships are formally documented at the inception of the hedge and the hedges must be tested to demonstrate an expectation of providing highly effective offsetting changes to future cash flows on hedged transactions. When derivative instruments are designated and qualify as effective cash flow hedges, the Company recognizes effective changes in the fair value of the hedging instrument within accumulated other comprehensive income (loss) until the hedged exposure is realized. Consequently, with the exception of excluded time value and hedge ineffectiveness recognized, the Companys results of operations are not subject to fluctuation as a result of changes in the fair value of the derivative instruments. If hedges are not highly effective or if the Company does not believe that the underlying hedged forecasted transactions will occur, the Company may not be able to account for its derivative instruments as cash flow hedges. If this were to occur, future changes in the fair values of the Companys derivative instruments would be recognized in earnings. Additionally, related amounts previously recorded in Other comprehensive income would be reclassified to income immediately. At June 30, 2013, the Company had gains of $2.8 million accumulated in Other Comprehensive Income, which it expects to reclassify from Other Comprehensive Income into earnings over the next 12 months.
Balance Sheet Hedges
The Company also enters into foreign currency forward contracts to hedge fluctuations associated with foreign currency denominated monetary assets and liabilities, primarily third party accounts receivables, accounts payables and intercompany receivables and payables. These foreign currency forward contracts are not designated for hedge accounting treatment. Therefore, the change in fair value of these derivatives is recorded as a component of other income (expense) and offsets the change in fair value of the foreign currency denominated assets and liabilities, which are also recorded in other income (expense).
69
As of June 30, 2013, the Company had the following outstanding foreign currency forward contracts that were entered into under its cash flow and balance sheet hedge program:
Derivatives Designated as Hedging Instruments: |
Derivatives Not Designated
as Hedging Instruments: |
|||||||||||||||
(in thousands) | ||||||||||||||||
Foreign Currency Forward Contracts |
||||||||||||||||
Buy Contracts | Sell Contracts | Buy Contracts | Sell Contracts | |||||||||||||
Japanese Yen |
$ | | $ | 137,286 | $ | | $ | 97,408 | ||||||||
Swiss Francs |
| | 18,726 | 1,633 | ||||||||||||
Euro |
59,885 | | 19,307 | 20,112 | ||||||||||||
Korean Won |
| | 14,095 | | ||||||||||||
Taiwan Dollar |
| | 120,603 | | ||||||||||||
|
|
|
|
|
|
|
|
|||||||||
$ | 59,885 | $ | 137,286 | $ | 172,731 | $ | 119,153 | |||||||||
|
|
|
|
|
|
|
|
The fair value of derivatives instruments in the Companys consolidated balance sheet as of June 30, 2013 and June 24, 2012 were as follows:
June 30, 2013 | June 24, 2012 | |||||||||||||||||||||||||||||||
Fair Value of Derivative Instruments | Fair Value of Derivative Instruments | |||||||||||||||||||||||||||||||
Asset Derivatives | Liability Derivatives | Asset Derivatives | Liability Derivatives | |||||||||||||||||||||||||||||
Balance Sheet Location |
Fair Value |
Balance Sheet Location |
Fair Value |
Balance Sheet Location |
Fair Value |
Balance Sheet Location |
Fair Value |
|||||||||||||||||||||||||
(in thousands) | ||||||||||||||||||||||||||||||||
Derivatives designated as hedging instruments: |
||||||||||||||||||||||||||||||||
Foreign exchange forward contracts |
|
Prepaid expense and other assets |
|
$ | 4,858 | Accrued liabilities | $ | 1,577 | |
Prepaid expense and other assets |
|
$ | 3,358 | Accrued liabilities | $ | 3,403 | ||||||||||||||||
Derivatives not designated as hedging instruments: |
||||||||||||||||||||||||||||||||
Foreign exchange forward contracts |
|
Prepaid expense and other assets |
|
71 | Accrued liabilities | 43 | |
Prepaid expense and other assets |
|
1,662 | Accrued liabilities | 925 | ||||||||||||||||||||
|
|
|
|
|
|
|
|
|||||||||||||||||||||||||
Total derivatives |
$ | 4,929 | $ | 1,620 | $ | 5,020 | $ | 4,328 | ||||||||||||||||||||||||
|
|
|
|
|
|
|
|
70
The effect of derivative instruments designated as cash flow hedges, before tax, on the Companys Consolidated Statements of Operations was as follows:
Twelve Months Ended June 30, 2013 | Twelve Months Ended June 24, 2012 | |||||||||||||||||||||||||
Effective Portion | Ineffective Portion and Amount Excluded from Effectiveness Testing |
Effective Portion | Ineffective Portion and Amount Excluded from Effectiveness Testing |
|||||||||||||||||||||||
Location of Gain (Loss) into Income |
Gain (Loss) Recognized in AOCI |
Gain (Loss) Reclassified from AOCI into Income |
Gain (Loss) Recognized in Income |
Gain (Loss) Recognized in AOCI |
Gain (Loss) Reclassified from AOCI into Income |
Gain (Loss) Recognized in Income |
||||||||||||||||||||
Derivatives Designated as Hedging Instruments |
(in thousands) | (in thousands) | ||||||||||||||||||||||||
Foreign Exchange Contracts |
Revenue | 8,322 | 10,036 | | (1,079 | ) | (5,500 | ) | | |||||||||||||||||
Foreign Exchange Contracts |
Cost of goods sold | 2,443 | (1,229 | ) | | (5,952 | ) | (2,166 | ) | | ||||||||||||||||
Foreign Exchange Contracts |
Selling, general, and administrative |
1,154 | (416 | ) | | (2,311 | ) | (883 | ) | | ||||||||||||||||
Foreign Exchange Contracts |
Other income (expense) |
| | (33 | ) | | | 796 | ||||||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
|||||||||||||||
11,919 | 8,391 | (33 | ) | (9,342 | ) | (8,549 | ) | 796 | ||||||||||||||||||
|
|
|
|
|
|
|
|
|
|
|
|
The effect of derivative instruments not designated as cash flow hedges on the Companys Consolidated Statement of Operations was as follows:
Twelve Months Ended | ||||||||||
June 30, 2013 | June 24, 2012 | |||||||||
Derivatives Not Designated as Hedging Instruments: | Location of Loss Recognized in Income |
Loss Recognized in Income |
Loss Recognized in Income |
|||||||
(in thousands) | ||||||||||
Foreign Exchange Contracts |
Other income (expense) | $ | (1,585 | ) | $ | (39,629 | ) |
Concentrations of Credit Risk
Financial instruments that potentially subject the Company to concentrations of credit risk consist principally of cash and cash equivalents, short term investments, restricted cash and investments, trade accounts receivable, and derivative financial instruments used in hedging activities. Cash is placed on deposit in large global financial institutions. Such deposits may be in excess of insured limits. Management believes that the financial institutions that hold the Companys cash are creditworthy and, accordingly, minimal credit risk exists with respect to these balances.
The Companys over-all portfolio of available-for-sale securities must maintain an average minimum rating of AA- or Aa3 as rated by Standard and Poors or Moodys Investor Services, respectively. To ensure diversification and minimize concentration, the Companys policy limits the amount of credit exposure with any one financial institution or commercial issuer.
The Company is exposed to credit losses in the event of nonperformance by counterparties on the foreign currency forward contracts that are used to mitigate the effect of exchange rate fluctuations and on contracts related to structured share repurchase agreements. These counterparties are large global financial institutions and, to date, no such counterparty has failed to meet its financial obligations to the Company.
Credit risk evaluations, including trade references, bank references and Dun & Bradstreet ratings, are performed on all new customers and the Company monitors its customers financial statements and payment performance. In general, the Company does not require collateral on sales.
71
As of June 30, 2013, two customers accounted for approximately 22% and 14% of accounts receivable. As of June 24, 2012, three customers accounted for approximately 24%, 17%, and 11% of accounts receivable.
Note 5: Inventories
Inventories are stated at the lower of cost (first-in, first-out method) or market. Shipments to Japanese customers, to whom title does not transfer until customer acceptance, are classified as inventory and carried at cost until title transfers. Inventories consist of the following:
June 30, 2013 |
June 24, 2012 |
|||||||
(in thousands) | ||||||||
Raw materials |
$ | 312,484 | $ | 342,283 | ||||
Work-in-process |
101,530 | 118,566 | ||||||
Finished goods |
145,303 | 172,004 | ||||||
|
|
|
|
|||||
$ | 559,317 | $ | 632,853 | |||||
|
|
|
|
Note 6: Property and Equipment
Property and equipment, net, consist of the following:
June 30, 2013 |
June 24, 2012 |
|||||||
(in thousands) | ||||||||
Manufacturing, engineering and office equipment |
$ | 521,047 | $ | 468,739 | ||||
Computer equipment and software |
120,144 | 104,919 | ||||||
Land |
65,360 | 65,228 | ||||||
Buildings |
249,126 | 231,536 | ||||||
Leasehold improvements |
76,225 | 54,327 | ||||||
Furniture and fixtures |
21,110 | 19,770 | ||||||
|
|
|
|
|||||
1,053,012 | 944,519 | |||||||
Less: accumulated depreciation and amortization |
(449,102 | ) | (359,923 | ) | ||||
|
|
|
|
|||||
$ | 603,910 | $ | 584,596 | |||||
|
|
|
|
Depreciation expense, including amortization of capital leases, during fiscal years 2013, 2012, and 2011, was $126.5 million, $74.0 million, and $54.0 million, respectively.
Note 7: Accrued Expenses and Other Current Liabilities
Accrued expenses and other current liabilities consist of the following:
June 30, 2013 |
June 24, 2012 |
|||||||
(in thousands) | ||||||||
Accrued compensation |
$ | 254,795 | $ | 274,165 | ||||
Warranty reserves |
52,252 | 63,988 | ||||||
Income and other taxes payable |
39,420 | 24,745 | ||||||
Other |
118,061 | 129,280 | ||||||
|
|
|
|
|||||
$ | 464,528 | $ | 492,178 | |||||
|
|
|
|
72
Note 8: Other Income (Expense), Net
The significant components of other income (expense), net, are as follows:
Year Ended | ||||||||||||
June 30, 2013 |
June 24, 2012 |
June 26, 2011 |
||||||||||
(in thousands) | ||||||||||||
Interest income |
$ | 14,737 | $ | 12,141 | $ | 9,890 | ||||||
Interest expense |
(60,408 | ) | (38,962 | ) | (5,380 | ) | ||||||
Gains (losses) on deferred compensation plan related assets |
9,764 | (914 | ) | 5,682 | ||||||||
Foreign exchange gains (losses) |
(6,808 | ) | (397 | ) | (11,085 | ) | ||||||
Other, net |
(8,698 | ) | (5,183 | ) | (2,516 | ) | ||||||
|
|
|
|
|
|
|||||||
$ | (51,413 | ) | $ | (33,315 | ) | $ | (3,409 | ) | ||||
|
|
|
|
|
|
Note 9: Net Income Per Share
Basic net income per share is computed by dividing net income by the weighted-average number of common shares outstanding during the period. Diluted net income per share is computed using the treasury stock method, for dilutive stock options, restricted stock units (RSUs), and convertible notes. The following table reconciles the numerators and denominators of the basic and diluted computations for net income per share.
Year Ended | ||||||||||||
June 30, | June 24, | June 26, | ||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands, except per share data) | ||||||||||||
Numerator: |
||||||||||||
Net income |
$ | 113,879 | $ | 168,723 | $ | 723,748 | ||||||
|
|
|
|
|
|
|||||||
Denominator: |
||||||||||||
Basic average shares outstanding |
168,932 | 124,176 | 123,529 | |||||||||
Effect of potential dilutive securities: |
||||||||||||
Employee stock plans |
2,558 | 910 | 1,490 | |||||||||
Convertible notes |
1,940 | 147 | | |||||||||
|
|
|
|
|
|
|||||||
Diluted average shares outstanding |
173,430 | 125,233 | 125,019 | |||||||||
|
|
|
|
|
|
|||||||
Net income per share - basic |
$ | 0.67 | $ | 1.36 | $ | 5.86 | ||||||
|
|
|
|
|
|
|||||||
Net income per share - diluted |
$ | 0.66 | $ | 1.35 | $ | 5.79 | ||||||
|
|
|
|
|
|
For purposes of computing diluted net income per share, weighted-average common shares do not include potentially dilutive securities that are anti-dilutive under the treasury stock method. The following potentially dilutive securities were excluded:
Year Ended | ||||||||||||
June 30, | June 24, | June 26, | ||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands) | ||||||||||||
Number of options and RSUs excluded |
534 | 382 | 241 |
Diluted shares outstanding include only the effect of the 2041 Notes. Diluted shares outstanding do not include any effect resulting from warrants, assumed conversion of the notes, or note hedges associated with the Companys 2016 or 2018 Notes (as described in Note 13) as their impact would have been anti-dilutive.
73
Note 10: Comprehensive Income (Loss)
The components of accumulated other comprehensive loss, net of tax at the end of the period, as well as the activity during the period, were as follows:
Accumulated Foreign Currency Translation Adjustment |
Accumulated Unrealized Holding Gain (Loss) on Cash Flow Hedges |
Accumulated Unrealized Holding Gain (Loss) on Available-for-Sale Investments |
Accumulated Unrealized Components of Defined Benefit Plans |
Total | ||||||||||||||||
(in thousands) | ||||||||||||||||||||
Balance as of June 24, 2012 |
$ | (22,481 | ) | $ | (212 | ) | $ | (308 | ) | $ | (10,817 | ) | $ | (33,818 | ) | |||||
Other comprehensive income (loss) before relcassifications |
5,303 | 10,607 | (3,844 | ) | (3,505 | ) | 8,561 | |||||||||||||
Losses (gains) reclassified from accumulated other comprehensive income to net income |
| (7,573 | ) (1) | 4,137 | (2) | | (3,436 | ) | ||||||||||||
|
|
|
|
|
|
|
|
|
|
|||||||||||
Net current-period other comprehensive income (loss) |
$ | 5,303 | $ | 3,034 | $ | 293 | $ | (3,505 | ) | $ | 5,125 | |||||||||
|
|
|
|
|
|
|
|
|
|
|||||||||||
Balance as of June 30, 2013 |
$ | (17,178 | ) | $ | 2,822 | $ | (15 | ) | $ | (14,322 | ) | $ | (28,693 | ) | ||||||
|
|
|
|
|
|
|
|
|
|
(1) | Amount of after tax gain reclassified from accumulated other comprehensive income into net income located in revenue: $8,932 gain, cost of goods sold: $1,048 loss and selling, general and administrative expenses: $311 loss. |
(2) | Amount of loss reclassified from accumulated other comprehensive income into net income located in other expense, net |
Tax related to the components of other comprehensive income during the period were as follows:
Year Ended | ||||||||||||
June 30, | June 24, | June 26, | ||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands) | ||||||||||||
Tax benefit (expense) on change in unrealized gains/losses on cash flow hedges: |
||||||||||||
Tax expense on unrealized gains/losses arising during the period |
$ | (1,312 | ) | $ | | $ | | |||||
Tax expense on gains/losses reclassified to earnings |
818 | | | |||||||||
|
|
|
|
|
|
|||||||
(494 | ) | | | |||||||||
|
|
|
|
|
|
|||||||
Tax benfit (expense) on change in unrealized gains/losses on available-for-sale investments: |
||||||||||||
Tax benefit (expense) on unrealized gains/losses arising during the period |
1,428 | 233 | (120 | ) | ||||||||
Tax (benefit) expense on gains/losses reclassified to earnings |
(2,026 | ) | 474 | 436 | ||||||||
|
|
|
|
|
|
|||||||
(598 | ) | 707 | 316 | |||||||||
|
|
|
|
|
|
|||||||
Tax benefit on change in unrealized components of defined benefit plans |
586 | 944 | 2,162 | |||||||||
|
|
|
|
|
|
|||||||
Tax benefit (expense) on other comprehensive income (loss) |
$ | (506 | ) | $ | 1,651 | $ | 2,478 | |||||
|
|
|
|
|
|
74
Note 11: Equity-Based Compensation Plans
The Company has adopted stock plans that provide for the grant to employees of equity-based awards, including stock options and RSUs, of Lam Research Common Stock. In addition, these plans permit the grant of nonstatutory equity-based awards to consultants and outside directors. An option is a right to purchase the Companys stock at a set price. An RSU award is an agreement to issue shares of the Companys stock at the time of vesting. Pursuant to the plans, the equity-based award exercise price is determined by the Board of Directors or its designee, the plan administrator, but in no event will the exercise price for any option be less than the fair market value of the Companys Common Stock on the date of grant. Equity-based awards granted under the plans vest over a period determined by the Board of Directors or the plan administrator, typically over a period of three years or less. The Company also has an ESPP that allows employees to purchase shares of its Common Stock through payroll deduction at a discounted price. A summary of stock plan transactions is as follows:
Options Outstanding | Restricted Stock Units Outstanding | |||||||||||||||
Number of Shares |
Weighted- Average Exercise Price |
Number of Shares |
Weighted- Average Fair Market Value at Grant |
|||||||||||||
June 27, 2010 |
885,425 | $ | 21.61 | 2,740,762 | $ | 30.50 | ||||||||||
Granted |
| $ | | 922,210 | $ | 50.11 | ||||||||||
Exercised |
(572,182 | ) | $ | 21.68 | ||||||||||||
Canceled |
(3,310 | ) | $ | 20.35 | (154,185 | ) | $ | 32.20 | ||||||||
Vested restricted stock |
(1,177,447 | ) | $ | 27.03 | ||||||||||||
|
|
|
|
|||||||||||||
June 26, 2011 |
309,933 | $ | 21.50 | 2,331,340 | $ | 39.90 | ||||||||||
Awards assumed in Novellus acquisition |
3,932,143 | $ | 25.17 | 1,291,808 | $ | 35.99 | ||||||||||
Granted |
| $ | | 2,336,283 | $ | 41.23 | ||||||||||
Exercised |
(74,615 | ) | $ | 23.70 | ||||||||||||
Canceled |
(265,384 | ) | $ | 21.71 | (120,070 | ) | $ | 40.91 | ||||||||
Vested restricted stock |
(1,507,883 | ) | $ | 35.47 | ||||||||||||
|
|
|
|
|||||||||||||
June 24, 2012 |
3,902,077 | $ | 25.14 | 4,331,478 | $ | 41.01 | ||||||||||
Granted |
288,867 | $ | 42.59 | 2,563,670 | $ | 38.76 | ||||||||||
Exercised |
(1,546,028 | ) | $ | 25.47 | ||||||||||||
Canceled |
(73,993 | ) | $ | 26.24 | (299,079 | ) | $ | 39.70 | ||||||||
Vested restricted stock |
(1,754,273 | ) | $ | 42.52 | ||||||||||||
|
|
|
|
|||||||||||||
June 30, 2013 |
2,570,923 | $ | 26.87 | 4,841,796 | $ | 39.32 | ||||||||||
|
|
|
|
Outstanding and exercisable options presented by price range at June 30, 2013 are as follows:
Options Outstanding | Options Exercisable | |||||||||||||||||||
Range of Exercise Prices |
Number of Options Outstanding |
Weighted- Average Remaining Life (Years) |
Weighted- Average Exercise Price |
Number of Options Exercisable |
Weighted- Average Exercise Price |
|||||||||||||||
$9.44-$20.82 |
447,220 | 3.32 | $ | 15.99 | 437,715 | $ | 15.94 | |||||||||||||
$21.04-$25.68 |
777,248 | 4.33 | $ | 22.37 | 615,744 | $ | 22.58 | |||||||||||||
$26.11-29.68 |
828,487 | 4.91 | $ | 29.29 | 633,083 | $ | 29.32 | |||||||||||||
$30.48-$37.11 |
229,101 | 2.99 | $ | 34.78 | 174,641 | $ | 35.56 | |||||||||||||
$42.41-$42.61 |
288,867 | 6.62 | $ | 42.59 | | |||||||||||||||
|
|
|
|
|||||||||||||||||
$9.44-$42.61 |
2,570,923 | 4.48 | $ | 26.87 | 1,861,183 | $ | 24.53 | |||||||||||||
|
|
|
|
The Lam Research Corporation 2007 Stock Incentive Plan and 2011 Stock Incentive Plan (collectively the Stock Plans) provide for the grant of non-qualified equity-based awards to eligible employees, consultants and
75
advisors, and non-employee directors of the Company and its subsidiaries. As of June 30, 2013 there were a total of 7,412,719 shares subject to options and restricted stock units issued and outstanding under the Companys Stock Plans. As of June 30, 2013, there were a total of 13,302,712 shares available for future issuance under the Stock Plans.
The ESPP allows employees to designate a portion of their base compensation to be deducted and used to purchase the Companys Common Stock at a purchase price per share of the lower of 85% of the fair market value of the Companys Common Stock on the first or last day of the applicable purchase period. Typically, each offering period lasts 12 months and comprises three interim purchase dates. The Plan Administrator (the Compensation Committee of the Board) is authorized to set a limit on the number of shares a plan participant can purchase on any single plan exercise date. Prior to August 27, 2012, the ESPP provided for an automatic annual increase in the number of shares in the plan reserve available for issuance. These increases occurred on the first business day of each calendar year commencing with 2004, on a one-for-one basis with each share of Common Stock that the Company had repurchased, and designated for this purpose, by a number of shares equal to the lesser of (i) 2,000,000, (ii) one and one-half percent (1.5%) of the number of shares of all classes of Common Stock of the Company outstanding on the first business day of such calendar year, or (iii) a lesser number determined by the Plan Administrator. Subsequent to August 27, 2012, increases in shares available for issuance under the ESPP must be specifically authorized by the Plan Administrator. During fiscal year 2013 there was no increase to the number of shares of Lam Research Common Stock reserved for issuance under the 1999 ESPP. During fiscal years 2012 and 2011 the number of shares of Lam Research Common Stock reserved for issuance under the 1999 ESPP increased by 1.8 million and 1.9 million, respectively.
During fiscal year 2013, a total of 1,072,396 shares of the Companys Common Stock were sold to employees under the 1999 ESPP. At June 30, 2013 9,574,207 shares were available for purchase under the 1999 ESPP.
The estimated fair value of the Companys stock-based awards, less expected forfeitures, is amortized over the awards vesting period on a straight-line basis. The Company recognized the following equity-based compensation expenses and benefits during the fiscal years noted:
Year Ended | ||||||||||||
June 30, | June 24, | June 26, | ||||||||||
2013 | 2012 | 2011 | ||||||||||
(in millions) | ||||||||||||
Equity-based compensation expense |
$ | 99.3 | $ | 81.6 | $ | 53.0 | ||||||
Income tax benefit recognized in the Consolidated Statement of Operations related to equity-based compensation |
$ | 17.6 | $ | 12.2 | $ | 8.6 | ||||||
Tax benefit realized from the exercise and vesting of options and RSUs |
$ | 21.6 | $ | 11.8 | $ | 16.3 |
Stock Options and Restricted Stock Units
Stock Options
The fair value of the Companys stock options granted during fiscal year 2013 and fiscal year 2012, in connection with the acquisition of Novellus, was estimated using a Black-Scholes option valuation model. The Company did not grant any stock options during fiscal year 2011. This model requires the input of highly subjective assumptions, including expected stock price volatility and the estimated life of each award:
Year Ended | ||||||||
June 30, | June 24, | |||||||
2013 | 2012 | |||||||
Expected volatility |
36.60 | % | 38.04 | % | ||||
Risk-free interest rate |
0.81 | % | 0.55 | % | ||||
Expected term (years) |
4.79 | 3.89 | ||||||
Dividend yield |
0 | % | 0 | % |
76
The year-end intrinsic value relating to stock options for fiscal years 2013, 2012, and 2011 is presented below:
Year Ended | ||||||||||||
June 30, | June 24, | June 26, | ||||||||||
2013 | 2012 | 2011 | ||||||||||
(millions) | ||||||||||||
Intrinsic value - options outstanding |
$ | 44.9 | $ | 49.9 | $ | 6.7 | ||||||
Intrinsic value - options exercisable |
$ | 36.9 | $ | 30.1 | $ | 6.7 | ||||||
Intrinsic value - options exercised |
$ | 25.4 | $ | 1.3 | $ | 16.7 |
As of June 30, 2013, there was $7.4 million of total unrecognized compensation cost related to unvested stock options granted and outstanding; that cost is expected to be recognized over a weighted average remaining vesting period of 1.4 years.
Restricted Stock Units
The fair value of the Companys restricted stock units was calculated based upon the fair market value of the Companys stock at the date of grant. As of June 30, 2013, there was $126.3 million of total unrecognized compensation cost related to unvested restricted stock units granted; that cost is expected to be recognized over a weighted average remaining vesting period of 1.9 years.
ESPP
ESPP rights were valued using the Black-Scholes model. During fiscal years 2013, 2012, and 2011 ESPP was valued assuming the following weighted-average assumptions:
Year Ended | ||||||||||||
June 30, | June 24, | June 26, | ||||||||||
2013 | 2012 | 2011 | ||||||||||
Expected life (years) |
0.64 | 0.72 | 0.68 | |||||||||
Expected stock price volatility |
32.42 | % | 44.22 | % | 42.25 | % | ||||||
Risk-free interest rate |
0.15 | % | 0.11 | % | 0.61 | % | ||||||
Dividend yield |
0 | % | 0 | % | 0 | % |
As of June 30, 2013, there was $2.2 million of total unrecognized compensation cost related to the ESPP that is expected to be recognized over a remaining vesting period of 2 months.
Note 12: Retirement and Deferred Compensation Plans
Employee Savings and Retirement Plan
The Company maintains a 401(k) retirement savings plan for its full-time employees in North America. Each participant in the plan may elect to contribute from 1% to 75% of annual eligible earnings to the plan, subject to statutory limitations. The Company makes matching employee contributions in cash to the plan at the rate of 50% of the first 6% of earnings contributed. Employees participating in the 401(k) retirement savings plan are fully vested in the Company matching contributions, and investments are directed by participants. The Company made matching contributions of $8.7 million, $5.8 million, and $5.1 million, in fiscal years 2013, 2012, and 2011, respectively.
Deferred Compensation Arrangements
The Company has an unfunded, non-qualified deferred compensation plan whereby certain executives may defer a portion of their compensation. Participants earn a return on their deferred compensation based on their
77
allocation of their account balance among measurement funds. The Company controls the investment of these funds and the participants remain general creditors of the Company. Participants are able to elect the payment of benefits on a specified date at least three years after the opening of a deferral subaccount or upon retirement. Distributions are made in the form of lump sum or annual installments over a period of up to 20 years as elected by the participant. If no alternate election has been made, a lump sum payment will be made upon termination of a participants employment with the Company. As of June 30, 2013 and June 24, 2012 the liability of the Company to the plan participants was $79.7 million and $79.0 million, respectively, which was recorded in accrued expenses and other current liabilities on the Consolidated Balance Sheets. As of June 30, 2013 and June 24, 2012 the Company had investments in the aggregate amount of $98.1 million and $83.2 million respectively that correlate to the deferred compensation obligations, which were recorded in other assets on the Consolidated Balance Sheets.
Postretirement Healthcare Plan
The Company maintains a postretirement healthcare plan for certain executive and director retirees. Coverage continues through the duration of the lifetime of the retiree or the retirees spouse, whichever is longer. The benefit obligation was $21.4 million and $19.8 million as of June 30, 2013 and June 24, 2012, respectively.
Note 13: Long Term Debt
The following table reflects the carrying value of the Companys convertible notes and other long-term debt as of June 30, 2013 and June 24, 2012:
June 30, | June 24, | |||||||
2013 | 2012 | |||||||
(in millions) | ||||||||
0.50% Notes due 2016 |
$ | 450.0 | $ | 450.0 | ||||
Less: Unamortized interest discount |
(45.7 | ) | (60.3 | ) | ||||
|
|
|
|
|||||
Net carrying amount of 0.50% Notes due 2016 |
404.3 | 389.7 | ||||||
|
|
|
|
|||||
1.25% Notes due 2018 |
450.0 | 450.0 | ||||||
Less: Unamortized interest discount |
(76.9 | ) | (90.4 | ) | ||||
|
|
|
|
|||||
Net carrying amount of 1.25% Notes due 2018 |
373.1 | 359.6 | ||||||
|
|
|
|
|||||
2.625% Notes due 2041 |
699.9 | 699.9 | ||||||
Less: Unamortized interest discount |
(186.9 | ) | (190.3 | ) | ||||
|
|
|
|
|||||
Net carrying amount of 2.625% Notes due 2041 |
513.0 | 509.6 | ||||||
|
|
|
|
|||||
Total debt |
1,290.4 | 1,258.9 | ||||||
Less: current portion of debt |
(513.0 | ) | (509.6 | ) | ||||
|
|
|
|
|||||
Long-term debt |
$ | 777.4 | $ | 749.3 | ||||
|
|
|
|
Convertible Senior Notes
In May 2011, the Company issued and sold $450.0 million in aggregate principal amount of 0.50% Convertible Senior Notes due May 2016 (the 2016 Notes) at par. At the same time, the Company issued and sold $450.0 million in aggregate principal amount of 1.25% Convertible Senior Notes due May 2018 (the 2018 Notes) at par. The 2016 Notes and the 2018 Notes may be converted, under certain circumstances, based on an initial conversion rate of 15.8687 shares of common stock per $1,000 principal amount of notes (which represents an initial conversion price of approximately $63.02 per share of common stock). The net proceeds to the Company from the sale of the 2016 Notes and the 2018 Notes were $835.5 million. The Company pays cash interest at an annual rate of 0.5% and 1.25%, respectively, on the 2016 Notes and the 2018 Notes, payable semi-annually on May 15 and November 15 of each year.
78
In June 2012, with the acquisition of Novellus Systems, Inc. (see Note 16), the Company assumed $700.0 million in aggregate principal amount of 2.625% Convertible Senior Notes due May 2041 (the 2041 Notes, and collectively with the 2016 Notes and the 2018 Notes, the Notes). The 2041 Notes may be converted, under certain circumstances, based on an initial conversion rate of 28.4781 shares of common stock per $1,000 principal amount of notes (which represents an initial conversion price of approximately $35.11 per share of common stock). The Company pays cash interest at an annual rate of 2.625%, payable semi-annually on May 15 and November 15 of each year. The 2041 Notes also have a contingent interest payment provision that may require us to pay additional interest based on certain thresholds, beginning with the semi-annual interest payment commencing on May 15, 2021, and upon the occurrence of certain events, as outlined in the indenture governing the 2041 Notes. The maximum amount of the contingent interest will accrue at a rate of 2.1% per annum, excluding any potential impact from dividends deemed payable to holders of the 2041 Notes. The contingent interest payment provision has been identified as an embedded derivative, to be accounted for separately, and is recorded at fair value at the end of each reporting period in other non-current liabilities, with any gains and losses recorded in interest expense, within the Consolidated Statements of Operations.
The Company separately accounts for the liability and equity components of the Notes. The initial debt components of the 2016 Notes, the 2018 Notes, and the 2041 Notes were valued at $373.8 million, $345.1 million, and $509.5 million, respectively, based on the present value of the future cash flows using discount rates of 4.29%, 5.27%, and 4.28%, respectively, the Companys borrowing rate at the date of the issuance or assumption for similar debt instruments without the conversion feature. The carrying values of the equity components of the 2016 Notes, the 2018 Notes, and the 2041 Notes were $76.2 million, $104.9 million, and $328.1 million, respectively as of June 30, 2013. The effective interest rates on the liability components of the 2016 Notes, the 2018 Notes, and the 2041 Notes for the year ended June 30, 2013 were 4.29%, 5.27%, and 4.28% respectively. The following table presents the amount of interest cost recognized relating to both the contractual interest coupon and amortization of the discount on the liability component of the Notes during the years ended June 30, 2013, June 24, 2012, and June 26, 2011.
June 30, | June 24, | June 26, | ||||||||||
2013 | 2012 | 2011 | ||||||||||
(in millions) | ||||||||||||
Contractual interest coupon |
$ | 26.2 | $ | 9.2 | $ | 1.1 | ||||||
Amortization of interest discount |
31.6 | 27.0 | 3.6 | |||||||||
Amortization of issuance costs |
2.4 | 2.4 | 0.3 | |||||||||
|
|
|
|
|
|
|||||||
Total interest cost recognized |
$ | 60.2 | $ | 38.6 | $ | 5.0 | ||||||
|
|
|
|
|
|
The remaining bond discount of the 2016 Notes, the 2018 Notes, and the 2041 Notes of $45.7 million, $76.9 million, and $186.9 million, respectively, as of June 30, 2013 will be amortized over their respective remaining lives of approximately 2.9 years, 4.9 years, and 27.9 years. As of June 30, 2013, the if-converted value of the 2016 and 2018 Notes did not exceed the aggregate principal amount. As of June 30, 2013, the if-converted value of the 2041 Notes exceeded the aggregate principal amount by $184 million.
2016 Notes
The 2016 Notes may be converted at any time prior to the close of business on the business day immediately preceding February 15, 2016, at the option of the holder, only under the following circumstances: 1) during the five business-day period after any ten consecutive trading-day period (the measurement period) in which the trading price per $1,000 principal amount of 2016 Notes for each day of such measurement period was less than 98% of the product of the last reported sale price of the Companys common stock and the applicable conversion rate on each such trading day; 2) during any fiscal quarter commencing after the fiscal quarter ending September 25, 2011, if the last reported sale price of the Companys common stock for 20 or more trading days in a period of 30 consecutive trading days ending on the last trading day of the immediately preceding fiscal
79
quarter is greater than or equal to 130% of the conversion price in effect on the last trading day of the immediately preceding fiscal quarter; or 3) upon the occurrence of specified corporate events. On and after February 15, 2016 until the close of business on the second scheduled trading day immediately preceding the maturity date of May 15, 2016, holders may convert their notes at any time, regardless of the foregoing circumstances.
Upon conversion, a holder will receive the conversion value of the 2016 Notes to be converted equal to the conversion rate multiplied by the volume weighted average price of the Companys common stock during a specified period following the conversion date. The conversion value of each 2016 Note will be paid in: 1) cash equal to the principal amount of the note and 2) to the extent the conversion value exceeds the principal amount of the note, common stock (plus cash in lieu of any fractional shares of common stock). The conversion price will be subject to adjustment in some events but will not be adjusted for accrued interest. Upon a fundamental change at any time, as defined, the Company will in some cases increase the conversion rate for a holder who elects to convert its 2016 Notes in connection with such fundamental change. In addition, the holders may require the Company to repurchase for cash all or a portion of their notes upon a designated event at a price equal to 100% of the principal amount of the notes being repurchased plus accrued and unpaid interest, if any.
Concurrently with the issuance of the 2016 Notes, the Company purchased a convertible note hedge and sold warrants. The separate convertible note hedge and warrant transactions are collectively structured to reduce the potential future economic dilution associated with the conversion of the 2016 Notes and to increase the effective initial conversion price to $71.34 per share. Each of these components is discussed separately below:
Convertible Note Hedge. Counterparties agreed to sell to the Company up to approximately 7.1 million shares of the Companys common stock, which is the number of shares initially issuable upon conversion of the 2016 Notes in full, at a price of $63.02 per share. The convertible note hedge transaction will be settled in net shares and will terminate upon the earlier of the maturity date of the 2016 Notes or the first day none of the 2016 Notes remains outstanding due to conversion or otherwise. Settlement of the convertible note hedge in net shares, based on the number of shares issued upon conversion of the 2016 Notes, on the expiration date would result in the Company receiving net shares equivalent to the number of shares issuable by the Company upon conversion of the 2016 Notes. Should there be an early unwind of the convertible note hedge transaction, the number of net shares potentially received by the Company will depend upon 1) the then existing overall market conditions, 2) the Companys stock price, 3) the volatility of the Companys stock, and 4) the amount of time remaining before expiration of the convertible note hedge. The convertible note hedge transaction cost of $76.2 million has been accounted for as an equity transaction. The Company initially recorded approximately $28.2 million in stockholders equity from the net deferred tax asset related to the convertible note hedge at inception of the transaction.
Sold Warrants. The Company received $57.6 million from the same counterparties from the sale of warrants to purchase up to approximately 7.1 million shares of the Companys common stock at an exercise price of $71.34 per share. The warrants expire on a series of dates between August 15, 2016 and October 21, 2016. At expiration, the Company may, at its option, elect to settle the warrants on a net share basis. As of June 30, 2013, the warrants had not been exercised and remained outstanding. The value of the warrants was initially recorded in equity and continues to be classified as equity.
2018 Notes
The 2018 Notes may be converted at any time prior to the close of business on the business day immediately preceding February 15, 2018, at the option of the holder only under the following circumstances: 1) during the five business-day period after any ten consecutive trading-day period (the measurement period) in which the trading price per $1,000 principal amount of 2018 Notes for each day of such measurement period was less than 98% of the product of the last reported sale price of the Companys common stock and the applicable conversion rate on each such trading day; 2) during any fiscal quarter commencing after the fiscal quarter ending September 25, 2011, if the last reported sale price of the Companys common stock for 20 or more trading days
80
in a period of 30 consecutive trading days ending on the last trading day of the immediately preceding fiscal quarter is greater than or equal to 130% of the conversion price in effect on the last trading day of the immediately preceding fiscal quarter; or 3) upon the occurrence of specified corporate events. On and after February 15, 2018 until the close of business on the second scheduled trading day immediately preceding the maturity date of May 15, 2018, holders may convert their notes at any time, regardless of the foregoing circumstances.
Upon conversion, a holder will receive the conversion value of the 2018 Notes to be converted equal to the conversion rate multiplied by the volume weighted average price of the Companys common stock during a specified period following the conversion date. The conversion value of each 2018 Notes will be paid in: 1) cash equal to the principal amount of the note and 2) to the extent the conversion value exceeds the principal amount of the note, common stock (plus cash in lieu of any fractional shares of common stock). The conversion price will be subject to adjustment in some events but will not be adjusted for accrued interest. Upon a fundamental change at any time, as defined, the Company will in some cases increase the conversion rate for a holder who elects to convert its 2018 Notes in connection with such fundamental change. In addition, the holders may require the Company to repurchase for cash all or a portion of their notes upon a designated event at a price equal to 100% of the principal amount of the notes being repurchased plus accrued and unpaid interest, if any.
Concurrently with the issuance of the 2018 Notes, the Company purchased a convertible note hedge and sold warrants. The separate convertible note hedge and warrant transactions are collectively structured to reduce the potential future economic dilution associated with the conversion of the 2018 Notes and to increase the effective initial conversion price to $76.10 per share. Each of these components is discussed separately below:
Convertible Note Hedge. Counterparties agreed to sell to the Company up to approximately 7.1 million shares of the Companys common stock, which is the number of shares initially issuable upon conversion of the 2018 Notes in full, at a price of $63.02 per share. The convertible note hedge transaction will be settled in net shares and will terminate upon the earlier of the maturity date of the 2018 Notes or the first day none of the 2018 Notes remains outstanding due to conversion or otherwise. Settlement of the convertible note hedge in net shares, based on the number of shares issued upon conversion of the 2018 Notes, on the expiration date would result in the Company receiving net shares equivalent to the number of shares issuable by the Company upon conversion of the 2018 Notes. Should there be an early unwind of the convertible note hedge transaction, the number of net shares potentially received by the Company will depend upon 1) the then existing overall market conditions, 2) the Companys stock price, 3) the volatility of the Companys stock, and 4) the amount of time remaining before expiration of the convertible note hedge. The convertible note hedge transaction cost of $104.9 million has been accounted for as an equity transaction. The Company initially recorded approximately $38.8 million in stockholders equity from the net deferred tax asset related to the convertible note hedge at inception of the transaction.
Sold Warrants. The Company received $76.3 million from the same counterparties from the sale of warrants to purchase up to approximately 7.1 million shares of the Companys common stock at an exercise price of $76.10 per share. The warrants expire on a series of dates between August 15, 2018 and October 23, 2018. At expiration, the Company may, at its option, elect to settle the warrants on a net share basis. As of June 30, 2013, the warrants had not been exercised and remained outstanding. The value of the warrants was initially recorded in equity and continues to be classified as equity.
2041 Notes
The 2041 Notes may be converted at any time prior to the close of business on the business day immediately preceding February 15, 2041, at the option of the holder only under the following circumstances: 1) during the five business-day period after any ten consecutive trading-day period (the measurement period) in which the trading price per $1,000 principal amount of 2041 notes for each day of such measurement period was less than 98% of the product of the last reported sale price of the Companys common stock and the applicable conversion rate on each such trading day; 2) during any fiscal quarter, if the last reported sale price of the Companys
81
common stock for 20 or more trading days in a period of 30 consecutive trading days ending on the last trading day of the immediately preceding fiscal quarter is greater than or equal to 130% of the conversion price in effect on the last trading day of the immediately preceding fiscal quarter; or 3) upon the occurrence of specified corporate events. On and after February 15, 2041 until the close of business on the third scheduled trading day immediately preceding the maturity date of May 15, 2041, holders may convert their notes at any time, regardless of the foregoing circumstances.
Upon conversion, a holder will receive the conversion value of the 2041 Notes to be converted equal to the conversion rate multiplied by the volume weighted average price of the Companys common stock during a specified period following the conversion date. The conversion value of each 2041 Note will be paid in: 1) cash equal to the principal amount of the note and 2) to the extent the conversion value exceeds the principal amount of the note, common stock (plus cash in lieu of any fractional shares of common stock). The conversion price will be subject to adjustment in some events but will not be adjusted for accrued interest. Upon a fundamental change at any time, as defined, the Company will in some cases increase the conversion rate for a holder who elects to convert its 2041 Notes in connection with such fundamental change. In addition, the holders may require the Company to repurchase for cash all or a portion of their notes upon a designated event at a price equal to 100% of the principal amount of the notes being repurchased plus accrued and unpaid interest, if any.
On or after May 21, 2021, we may redeem all or part of the 2041 Notes for the principal plus any accrued and unpaid interest if the closing price of our common stock has been at least 150% of the conversion price then in effect for at least 20 trading days during any period of 30 consecutive trading days prior to the date on which we provide notice of redemption.
Conversion Period
During the fiscal quarter ended June 30, 2013, the Companys common stock for 20 or more trading days of the 30 consecutive trading days preceding the quarter end was greater than or equal to 130% of the 2041 Note conversion price. As a result, the 2041 Notes became convertible at the option of the holder anytime during the fiscal quarter ending September 29, 2013. However, there have been no conversions of the 2041 Notes as of August 27, 2013.
In connection with the acquisition of Novellus in June 2012, the 2041 Notes could have been converted into the Companys common stock at any time from and after the later of (1) the date that was 30 scheduled trading days immediately prior to the anticipated closing date of the merger and (2) the date on which we delivered to the note holders notice of the merger, until 35 business days after the actual closing date of the merger, or July 24, 2012. During the period ending June 24, 2012, 65 of the 2041 Notes, with a total par value of $65,000, were converted at the note holders option. In conjunction with the conversion, 137 shares of common stock were issued.
As a result of the open conversion period, the carrying amount of the 2041 Notes was classified in current liabilities in our Consolidated Balance Sheet as of June 30, 2013 and June 24, 2012. The excess of the amount of cash payable, if converted, over the carrying amount of the 2041 Notes was classified as temporary equity as of June 30, 2014 June 24, 2012. Upon closure of a conversion period, all 2041 Notes not converted are reclassified back to noncurrent liabilities and the temporary equity is reclassified to permanent equity.
Fair Value of Notes
As of June 30, 2013, the face values of the 2016 Notes, 2018 Notes, and 2041 Notes were $450.0 million, $450.0 million, and $699.9 million, respectively. As of June 30, 2013, the fair values of the 2016 Notes, 2018 Notes, and 2041 Notes, which includes the debt and equity components, were approximately $482.9 million, $500.5 million, and $1,001.6 million respectively, based on quoted market prices (level 1 inputs within the fair value hierarchy).
82
Contractual Obligations
The Companys contractual cash obligations relating to its convertible notes and other long-term debt as of June 30, 2013 were as follows:
Long-term | ||||
Debt | ||||
(in thousands) | ||||
Payments due by period: |
||||
One year* |
$ | 699,935 | ||
Two years |
| |||
Three years |
450,000 | |||
Four years |
| |||
Five years |
450,000 | |||
Over five years |
| |||
|
|
|||
Total |
1,599,935 | |||
Current portion of long-term debt |
699,935 | |||
|
|
|||
Long-term debt |
$ | 900,000 | ||
|
|
* | As noted above, the conversion period for the 2041 Notes opened as of June 30, 2013. As there is the potential for conversion at the option of the holder, the principal balance of the 2041 notes has been included in the one year payment period. As of August 27, 2013, none of the 2041 notes had been converted during the conversion period beginning June 30, 2013. |
Note 14: Commitments
The Company has certain obligations to make future payments under various contracts, some of these are recorded on its balance sheet and some are not. Obligations that are recorded on the Companys balance sheet include the Companys capital lease obligations. Obligations that are not recorded on the Companys balance sheet include contractual relationships for operating leases, purchase obligations, and certain guarantees. The Companys commitments relating to capital leases and off-balance sheet agreements are included in the tables below. These amounts exclude $246.5 million of liabilities related to uncertain tax benefits because the Company is unable to reasonably estimate the ultimate amount or time of settlement. See Note 15, of Notes to Consolidated Financial Statements for further discussion.
Capital Leases
Capital leases reflect building and office equipment leases. The Companys contractual cash obligations relating to its existing capital leases, including interest, as of June 30, 2013 were as follows:
Capital | ||||
Leases | ||||
(in thousands) | ||||
Payments due by period: |
||||
One year |
$ | 1,849 | ||
Two years |
1,828 | |||
Three years |
1,797 | |||
Four years |
8,507 | |||
Five years |
| |||
Over five years |
| |||
|
|
|||
Total |
13,981 | |||
Interest on capital leases |
492 | |||
|
|
|||
Current portion of capital leases |
1,641 | |||
|
|
|||
Long-term portion of capital leases |
$ | 11,848 | ||
|
|
83
Operating Leases and Related Guarantees
The Company leases the majority of its administrative, R&D and manufacturing facilities, regional sales/service offices and certain equipment under non-cancelable operating leases. Certain of the Companys facility leases for buildings located at its Fremont, California headquarters and certain other facility leases provide the Company with options to extend the leases for additional periods or to purchase the facilities. Certain of the Companys facility leases provide for periodic rent increases based on the general rate of inflation. The Companys rental expense for facilities occupied during fiscal years 2013, 2012, and 2011 was approximately $14 million, $11 million, and $9 million, respectively.
On December 18, 2007, the Company entered into two operating leases regarding certain improved properties in Livermore, California. These leases were amended on April 3, 2008 and July 9, 2008 (as so amended, the Livermore Leases). On December 21, 2007, the Company entered into a series of four amended and restated operating leases (the New Fremont Leases, and collectively with the Livermore Leases, the Operating Leases) with regard to certain improved properties at the Companys headquarters in Fremont, California.
The Operating Leases have a term of approximately seven years ending on the first business day in January 2015. The Company may, at its discretion and with 30 days notice, elect to purchase the property that is the subject of the Operating Lease for an amount approximating the sum required to pay the amount of the lessors investment in the property and any accrued but unpaid rent.
The Company is required, pursuant to the terms of the Operating Leases, to maintain collateral in an aggregate of approximately $164.9 million in separate interest-bearing accounts as security for the Companys obligations under the Operating Leases. This amount is recorded as restricted cash in the Companys Consolidated Balance Sheet as of as of June 30, 2013.
When the terms of the Operating Leases expire, the property subject to that Operating Lease may be remarketed. The Company has guaranteed to the lessor that each property will have a certain minimum residual value. The aggregate guarantee made by the Company under the Operating Leases is generally no more than approximately $141.7 million; however, under certain default circumstances, the guarantee with regard to an Operating Lease may be 100% of the lessors aggregate investment in the applicable property, which in no case will exceed $164.9 million, in the aggregate.
During fiscal years 2011 and 2010, the Company recognized restructuring charges of $13.7 million and $13.0 million, respectively, related to the reassessment of the residual value guarantee for such lease. Accordingly, an amount of $26.7 million has been recorded in other long-term liabilities as of June 30, 2013.
The Companys contractual cash obligations with respect to operating leases, excluding the residual value guarantees discussed above, as of June 30, 2013 were as follows:
Operating | ||||
Leases | ||||
(in thousands) | ||||
Payments due by period: |
||||
One year |
$ | 14,122 | ||
Two years |
10,386 | |||
Three years |
7,429 | |||
Four years |
6,346 | |||
Five years |
1,621 | |||
Over five years |
4,446 | |||
Less: Sublease Income |
(5,202 | ) | ||
|
|
|||
Total |
$ | 39,148 | ||
|
|
84
Other Guarantees
The Company has issued certain indemnifications to its lessors for taxes and general liability under some of its agreements. The Company has entered into certain insurance contracts that may limit its exposure to such indemnifications. As of June 30, 2013, the Company had not recorded any liability on its Consolidated Financial Statements in connection with these indemnifications, as it does not believe, based on information available, that it is probable that any amounts will be paid under these guarantees.
Generally, the Company indemnifies, under pre-determined conditions and limitations, its customers for infringement of third-party intellectual property rights by the Companys products or services. The Company seeks to limit its liability for such indemnity to an amount not to exceed the sales price of the products or services subject to its indemnification obligations. The Company does not believe, based on information available, that it is probable that any material amounts will be paid under these guarantees.
The Company provides guarantees and standby letters of credit to certain parties as required for certain transactions initiated during the ordinary course of business. As of June 30, 2013, the maximum potential amount of future payments that we could be required to make under these arrangements and letters of credit was $15.0 million. We do not believe, based on historical experience and information currently available, that it is probable that any amounts will be required to be paid.
Purchase Obligations
Purchase obligations consist of significant contractual obligations either on an annual basis or over multi-year periods related to the Companys outsourcing activities or other material commitments, including vendor-consigned inventories. The contractual cash obligations and commitments table presented below contains the Companys minimum obligations at June 30, 2013 under these arrangements and others. For obligations with cancellation provisions, the amounts included in the following table were limited to the non-cancelable portion of the agreement terms or the minimum cancellation fee. Actual expenditures will vary based on the volume of transactions and length of contractual service provided.
The Companys commitments related to these agreements as of June 30, 2013 are as follows:
Purchase | ||||
Obligations | ||||
(in thousands) | ||||
Payments due by period: |
||||
One year |
$ | 147,425 | ||
Two years |
5,733 | |||
Three years |
3,312 | |||
Four years |
1,063 | |||
Five years |
1,063 | |||
Over five years |
| |||
|
|
|||
Total |
$ | 158,596 | ||
|
|
Warranties
The Company provides standard warranties on its systems. The liability amount is based on actual historical warranty spending activity by type of system, customer, and geographic region, modified for any known differences such as the impact of system reliability improvements.
85
Changes in the Companys product warranty reserves were as follows:
Year Ended | ||||||||
June 30, | June 24, | |||||||
2013 | 2012 | |||||||
(in thousands) | ||||||||
Balance at beginning of period |
$ | 70,161 | $ | 40,951 | ||||
Warranties issued during the period |
74,779 | 45,095 | ||||||
Warranties assumed in Novellus acquisition |
| 38,967 | ||||||
Settlements made during the period |
(92,456 | ) | (58,710 | ) | ||||
Changes in liability for pre-existing warranties |
5,594 | 3,858 | ||||||
|
|
|
|
|||||
Balance at end of period |
$ | 58,078 | $ | 70,161 | ||||
|
|
|
|
|||||
Less: long-term portion |
(5,826 | ) | (6,173 | ) | ||||
|
|
|
|
|||||
Accrued warranty, current |
$ | 52,252 | $ | 63,988 | ||||
|
|
|
|
Note 15: Income Taxes
The components of income (loss) before income taxes are as follows:
Year Ended | ||||||||||||
June 30, 2013 |
June 24, 2012 |
June 26, 2011 |
||||||||||
(in thousands) | ||||||||||||
United States |
$ | (46,392 | ) | $ | (6,950 | ) | $ | 159,250 | ||||
Foreign |
113,050 | 211,368 | 641,626 | |||||||||
|
|
|
|
|
|
|||||||
$ | 66,658 | $ | 204,418 | $ | 800,876 | |||||||
|
|
|
|
|
|
Significant components of the provision (benefit) for income taxes attributable to income before income taxes are as follows:
Year Ended | ||||||||||||
June 30, | June 24, | June 26, | ||||||||||
2013 | 2012 | 2011 | ||||||||||
(in thousands) | ||||||||||||
Federal: |
||||||||||||
Current |
$ | (1,096 | ) | $ | 5,038 | $ | 55,119 | |||||
Deferred |
(60,172 | ) | (1,033 | ) | (25,143 | ) | ||||||
|
|
|
|
|
|
|||||||
$ | (61,268 | ) | $ | 4,005 | $ | 29,976 | ||||||
|
|
|
|
|
|
|||||||
State: |
||||||||||||
Current |
$ | 3,332 | $ | 1,297 | $ | 3,159 | ||||||
Deferred |
(6,351 | ) | 336 | 26,589 | ||||||||
|
|
|
|
|
|
|||||||
$ | (3,019 | ) | $ | 1,633 | $ | 29,748 | ||||||
|
|
|
|
|
|
|||||||
Foreign: |
||||||||||||
Current |
$ | 20,640 | $ | 33,871 | $ | 22,556 | ||||||
Deferred |
(3,574 | ) | (3,814 | ) | (5,152 | ) | ||||||
|
|
|
|
|
|
|||||||
$ | 17,066 | $ | 30,057 | $ | 17,404 | |||||||
|
|
|
|
|
|
|||||||
Total Provision (Benefit) for Income Taxes |
$ | (47,221 | ) | $ | 35,695 | $ | 77,128 | |||||
|
|
|
|
|
|
86
Deferred income taxes reflect the net tax effect of temporary differences between the carrying amounts of assets and liabilities for financial reporting purposes, and the amounts used for income tax purposes, as well as the tax effect of carryforwards. Significant components of the Companys net deferred tax assets are as follows:
June 30, | June 24, | |||||||
2013 | 2012 | |||||||
(in thousands) | ||||||||
Deferred tax assets: |
||||||||
Tax carryforwards |
$ | 169,371 | $ | 114,974 | ||||
Allowances and reserves |
94,720 | 102,041 | ||||||
Equity-based compensation |
19,586 | 24,960 | ||||||
Inventory valuation differences |
22,833 | 8,233 | ||||||
Other |
11,286 | 3,506 | ||||||
|
|
|
|
|||||
Gross deferred tax assets |
317,796 | 253,714 | ||||||
Valuation allowance |
(76,594 | ) | (55,213 | ) | ||||
|
|
|
|
|||||
Net deferred tax assets |
241,202 | 198,501 | ||||||
Deferred tax liabilities: |
||||||||
Intangible Assets |
(94,836 | ) | (117,312 | ) | ||||
Convertible debt |
(98,482 | ) | (81,608 | ) | ||||
Temporary differences for captial assets |
(41,470 | ) | (71,439 | ) | ||||
Amortization of goodwill |
(9,950 | ) | (8,180 | ) | ||||
Other |
(14,581 | ) | (7,060 | ) | ||||
|
|
|
|
|||||
Gross deferred tax liabilities |
(259,319 | ) | (285,599 | ) | ||||
|
|
|
|
|||||
Net deferred tax assets |
$ | (18,117 | ) | $ | (87,098 | ) | ||
|
|
|
|
The change in the gross deferred tax assets, gross deferred tax liabilities and valuation allowance between fiscal year 2013 and 2012 is primarily attributable to reversal of deferred tax liabilities related to intangibles and fixed assets due to non-deductibility of amortization and depreciation resulting from purchase price accounting adjustments, an increase of tax credit attributes resulting from the extension of the federal research and development tax credit in fiscal year 2013, and resolution of certain tax matters. Realization of the Companys net deferred tax assets is based upon the weighting of available evidence, including such factors as the recent earnings history and expected future taxable income. The Company believes it is more-likely-than-not that such deferred tax assets will be realized with the exception of $76.6 million primarily related to California and certain foreign deferred tax assets.
The provisions related to the tax accounting for stock-based compensation prohibit the recognition of a deferred tax asset for an excess benefit that has not yet been realized. As a result, the Company will only recognize an excess benefit from stock-based compensation in additional paid-in-capital if an incremental tax benefit is realized after all other tax attributes currently available to us have been utilized. In addition, the Company continued to elect to account for the indirect benefits of stock-based compensation such as the research and development tax credit through the consolidated statement of operations.
At June 30, 2013, the Company had federal net operating loss carryforwards of approximately $158.6 million. These losses will begin to expire in the year 2018, and are subject to limitations on their utilization.
As of June 30, 2013, the Company had state net operating loss carryforward of approximately $129.3 million. If not utilized, the net operating loss carryforwards will begin to expire in the year 2015, and are subject to limitations on their utilization.
At June 30, 2013, the Company had federal tax credit carryforwards of approximately $86.1 million, of which $83.4 million will begin to expire in fiscal year 2027. The remaining balance of $2.7 million of credits
87
may be carried forward indefinitely. The tax benefits relating to approximately $8.7 million of federal tax credit carryforwards will be credited to additional paid-in-capital when recognized.
At June 30, 2013, the Company had state tax credit carryforwards of approximately $192.0 million. Substantially all tax credits may be carried forward indefinitely. The tax benefits relating to approximately $36.7 million of the state tax credit carryforwards will be credited to additional paid-in-capital when recognized.
At June 30, 2013, the Company had foreign net operating loss carryforwards of approximately $57.2 million, of which approximately $30.0 million may be carried forward indefinitely and $27.2 million will begin to expire in fiscal year 2014.
A reconciliation of income tax expense provided at the federal statutory rate (35% in fiscal years 2013, 2012 and 2011) to actual income expense is as follows:
Year Ended | ||||||||||||
June 30, 2013 |
June 24, 2012 |
June 26, 2011 |
||||||||||
(in thousands) | ||||||||||||
Income tax expense computed at federal statutory rate |
$ | 23,332 | $ | 71,546 | $ | 280,306 | ||||||
State income taxes, net of federal tax benefit |
(13,588 | ) | (4,895 | ) | 9,322 | |||||||
Foreign income taxed at different rates |
(40,255 | ) | (51,425 | ) | (217,982 | ) | ||||||
Tax credits |
(42,593 | ) | (5,791 | ) | (16,503 | ) | ||||||
State valuation allowance, net of federal tax benefit |
11,538 | 5,862 | 10,078 | |||||||||
Equity-based compensation |
20,318 | 14,123 | 12,244 | |||||||||
Acquisition costs |
| 5,683 | | |||||||||
Other permanent differences and miscellaneous items |
(5,973 | ) | 592 | (337 | ) | |||||||
|
|
|
|
|
|
|||||||
$ | (47,221 | ) | $ | 35,695 | $ | 77,128 | ||||||
|
|
|
|
|
|
Effective from fiscal year 2003 through June 2013, the Company had a tax holiday in Switzerland for one of its foreign subsidiaries, which was conditional upon the Company meeting certain employment and investment thresholds. The impact of the tax holiday decreased income taxes by approximately $10.8 million, $22.3 million, and $119.5 million for fiscal years 2013, 2012, and 2011, respectively. The benefit of the tax holiday on diluted earnings per share was approximately $0.06 in fiscal year 2013, $0.18 in fiscal year 2012, and $0.96 in fiscal year 2011. The Company obtained a new Swiss ruling that is effective until June 30, 2023.
Effective from January 2007 through December 2012, Novellus had a tax holiday in Singapore for one of its foreign subsidiaries. The tax holiday was terminated effective January 1, 2013. The benefit of the Singapore tax holiday for the Companys fiscal year 2013 results is immaterial.
Unremitted earnings of the Companys foreign subsidiaries included in consolidated retained earnings aggregated to approximately $2.1 billion at June 30, 2013. These earnings are indefinitely reinvested in foreign operations. If these earnings were remitted to the United States, they would be subject to U.S. and foreign withholding taxes of approximately $462.2 million at current statutory rates. The Companys federal income tax provision includes U.S. income taxes on certain foreign-based income.
As of June 30, 2013, the total gross unrecognized tax benefits were $333.1 million compared to $343.8 million as of June 24, 2012, and $181.5 million as of June 26, 2011. During fiscal year 2013, gross unrecognized tax benefits decreased by approximately $10.7 million. The amount of unrecognized tax benefits
88
that, if recognized, would impact the effective tax rate was $257.7 million, $278.2 million, and $120.4 million as of June 30, 2013, June 24, 2012, and June 26, 2011, respectively. The aggregate changes in the balance of gross unrecognized tax benefits were as follows:
(in millions) | ||||
Balance as of June 27, 2010 |
$ | 190.5 | ||
Settlements and effective settlements with tax authorities |
(24.2 | ) | ||
Lapse of statute of limitations |
(5.2 | ) | ||
Increases in balances related to tax positions taken during prior periods |
13.7 | |||
Decreases in balances related to tax positions taken during prior periods |
(13.4 | ) | ||
Increases in balances related to tax positions taken during current period |
20.1 | |||
|
|
|||
Balance as of June 26, 2011 |
181.5 | |||
Settlements and effective settlements with tax authorities |
(0.2 | ) | ||
Lapse of statute of limitations |
(6.6 | ) | ||
Increases in balances related to tax positions taken during prior periods |
1.4 | |||
Decreases in balances related to tax positions taken during prior periods |
(4.3 | ) | ||
Increases in balances related to tax positions taken during current period |
22.3 | |||
Tax positions assumed in Novellus transaction |
149.7 | |||
|
|
|||
Balance as of June 24, 2012 |
343.8 | |||
Settlements and effective settlements with tax authorities |
(3.4 | ) | ||
Lapse of statute of limitations |
(51.4 | ) | ||
Increases in balances related to tax positions taken during prior periods |
11.3 | |||
Decreases in balances related to tax positions taken during prior periods |
(11.3 | ) | ||
Increases in balances related to tax positions taken during current period |
35.2 | |||
Tax positions assumed in Novellus transaction |
8.9 | |||
Balance as of June 30, 2013 |
$ | 333.1 |
The Company recognizes interest expense and penalties related to the above unrecognized tax benefits within income tax expense. The Company had accrued $25.5 million, $25.2 million, and $16.9 million, cumulatively, for gross interest and penalties as of June 30, 3013, June 24, 2012, and June 26, 2011, respectively.
The Internal Revenue Service (IRS) has completed its audit of the Companys U.S. income tax return for fiscal years 2008 and 2009. As a result of the settlement of the IRS audit, the Company reduced its unrecognized tax benefits by approximately $1.8 million in fiscal year 2013. In addition, the Company is also subject to audits by state and foreign tax authorities. The Company is unable to make a reasonable estimate as to when cash settlements, if any, with the relevant taxing authorities will occur.
The Company files U.S. federal, U.S. state, and foreign income tax returns. As of June 30, 2013, tax years 2003-2012 remain subject to examination in the jurisdictions where the Company operates.
The Company is in various stages of the examinations in connection with all of its tax audits worldwide and it is difficult to determine when these examinations will be settled. It is reasonably possible that over the next twelve-month period the Company may experience an increase or decrease in its unrecognized tax benefits. It is not possible to determine either the magnitude or the range of any increase or decrease at this time.
Note 16: Acquisitions
On June 4, 2012 (the acquisition date), the Company acquired all of the outstanding common shares of Novellus in an all-stock transaction valued at approximately $3.0 billion. The results of Novellus operations have been included in the consolidated financial statements for the period from June 4, 2012 to June 24, 2012. Lams primary reasons for this acquisition were to complement existing product offerings and to provide
89
opportunities for revenue and cost synergies. Novellus primary business focus is to develop, manufacture, sell and support equipment used in the fabrication of integrated circuits, commonly called semiconductors. Customers for this equipment manufacture semiconductors for sale or for incorporation in their own products, or provide semiconductor-manufacturing services to third parties. Novellus also develops, manufactures, sells and supports grinding, lapping and polishing equipment for a broad spectrum of industrial applications.
As a result of the acquisition, Lam Research issued common stock and equity-based awards, subject to certain exceptions, as follows:
(i) | each issued and outstanding share of common stock of Novellus was converted into 1.125 (the exchange ratio) shares of Lam Research common stock, with cash paid in lieu of fractional shares; |
(ii) | each outstanding option for Novellus common stock held by a then-current employee of Novellus, whether vested or unvested, was assumed by Lam Research and converted into an option (A) to acquire that number of shares of Lam Research common stock (rounded down to the nearest whole share) equal to the product of (x) the number of shares of Novellus common stock for which such option was exercisable immediately prior to the acquisition date multiplied by (y) the exchange ratio and (B) with an exercise price per share of Lam Research (rounded up to the nearest whole penny) equal to the quotient obtained by dividing (z) the exercise price per share of Novellus common stock subject to such option immediately prior to the acquisition date divided by (y) the exchange ratio. Each assumed stock option will be subject to, and exercisable and vested on, the same terms and conditions applicable to such assumed stock option (consistent with the terms of the applicable Novellus stock plan, the applicable stock option agreement and any other applicable Novellus plan) as of immediately prior to the acquisition date; and |
(iii) | each outstanding Novellus RSU and each outstanding Novellus performance-based RSU (PSU) held by a then-current employee of Novellus, whether vested or unvested, was assumed by Lam Research and converted into a restricted stock unit to acquire the number of shares of Lam Research common stock (rounded down to the nearest whole share) equal to the product obtained by multiplying (x) the number of shares of Novellus common stock subject to such RSU or PSU, as applicable, immediately prior to the acquisition date by (y) 1.125. Novellus PSUs that vest in connection with the consummation of the acquisition will become fully vested with respect to the maximum number of shares of Novellus common stock payable pursuant to such Novellus PSU. Each assumed RSU or PSU, as applicable, will be subject to, and vested on, the same terms and conditions applicable to such assumed RSU or PSU. |
Consideration Transferred
The table below details the consideration transferred to acquire Novellus:
Conversion | Estimated | |||||||
(in thousands, except per share amounts) |
Calculation | Fair Value | ||||||
Lam common stock issued at merger |
82,689 | |||||||
Per share price of Lam common stock as of June 4, 2012 |
$ | 35.99 | $ | 2,975,977 | ||||
|
|
|||||||
Estimated fair value of vested Lam equivalent restricted stock (1) |
$ | 9,599 | ||||||
Estimated fair value of vested Lam equivalent stock options (2) |
41,412 | |||||||
|
|
|||||||
Estimated purchase price consideration |
$ | 3,026,988 | ||||||
|
|
(1) | The fair value of Lam Research equivalent restricted stock as of the acquisition date was estimated based upon the per share price of Lam Research common stock as of June 4, 2012, and giving effect to the exchange ratio of 1.125. |
(2) | The fair value of the Lam Research equivalent stock options as of the acquisition date was estimated using the Black-Scholes valuation model. Assumptions used are the same as those for acquired awards as disclosed in Note 11 of Notes to Consolidated Financial Statements. |
90
Net Assets Acquired
The transaction has been accounted for using the acquisition method of accounting which requires that assets acquired and liabilities assumed be recognized at their fair values as of the acquisition date. The following table summarizes the assets acquired and liabilities assumed as of the acquisition date:
June 4, 2012 | ||||
(in thousands) | ||||
Cash and investments |
$ | 1,059,859 | ||
Accounts receivable |
241,924 | |||
Inventory |
309,213 | |||
Other current assets |
55,502 | |||
Property and equipment |
289,126 | |||
Intangible assets |
1,219,100 | |||
Goodwill |
1,283,111 | |||
Other long-term assets |
36,494 | |||
|
|
|||
Total assets acquired |
4,494,329 | |||
Accounts payable |
(83,028 | ) | ||
Accrued expenses and other current liabilities |
(199,262 | ) | ||
Deferred revenue |
(20,388 | ) | ||
Debt |
(509,805 | ) | ||
Other long-term liabilities |
(326,732 | ) | ||
Convertible notes - equity component |
(328,126 | ) | ||
|
|
|||
Net assets acquired |
$ | 3,026,988 | ||
|
|
The following table is a summary of the fair value estimates of the identifiable intangible assets and their useful lives:
Useful Life |
Estimated Fair Value June 4, 2012 |
|||||
(in thousands, except years) | ||||||
Existing technology |
7 | $ | 580,000 | |||
Customer relationships |
6-10 | 580,000 | ||||
In-process research and design |
Indefinite | 30,000 | ||||
Patents |
6 | 10,000 | ||||
Backlog |
1 | 10,000 | ||||
Additional development rights |
Indefinite | 9,100 | ||||
|
|
|||||
Total |
$ | 1,219,100 | ||||
|
|
Critical estimates in valuing certain intangible assets include but are not limited to estimating future expected cash flows from assets acquired and determining discount rates. Managements estimates of fair value are based upon assumptions believed to be reasonable, but which are inherently uncertain and unpredictable and, as a result, actual results may differ from estimates. Estimates associated with the accounting for acquisitions may change as additional information becomes available.
With respect to the acquisition of Novellus, acquired intangibles primarily included existing technology and customer relationships. Existing technology represents the underlying hardware, software, robotics, chemical and mechanical processes embedded in the various tools, which have passed technological feasibility. Existing technology was valued using the relief from royalty method, a form of the income approach. The relief from royalty method estimates the cost savings that accrue to the owner of an intangible asset that would otherwise be payable as royalties or license fees on revenues earned through the use of the asset. The value of the intangible asset is equal to the present value of the after-tax royalty savings attributable to owning the intangible asset.
91
Customer relationships have value when they represent an identifiable and predictable source of cash flows to the combined business enterprise. Customer relationships that resulted in repeat purchases and customer loyalty were valued using the multiperiod excess earning method, a form of the income approach. The estimated fair value of the customer contracts and related relationships represents the sum of the present value of the expected cash flows attributable to those customer relationships. The cash flows were determined from the revenue and profit forecasts associated with existing contracts and renewals, as well as add-ons and growth opportunities that are expected to be generated from these customer relationships.
The goodwill recognized is attributable primarily to expected synergies and other benefits that the Company believes will result from combining the operations of Novellus with the operations of Lam. The $1.3 billion goodwill that was acquired is not expected to be deductible for income tax purposes. As of June 30, 2013 there are no remaining preliminary purchase price allocations and the measurement period is considered closed.
Acquisition Costs
The Company recognized $36 million of acquisition related costs that were expensed in the year ended June 24, 2012. These costs are included within selling, general, and administrative expense in the Consolidated Statement of Operations.
Actual and Pro-forma Results
The amounts of revenue and net income (loss) of Novellus included in the Companys consolidated Statement of Operations from the acquisition date to June 24, 2012 are as follows:
(in thousands) | ||||
Revenue |
$ | 25,843 | ||
Net income (loss) |
$ | (29,187 | ) |
The unaudited pro-forma results presented below include the effects of the Novellus acquisition as if it had been consummated as of June 28, 2010. The pro forma results below include adjustments related to conforming revenue accounting policies, depreciation and amortization to reflect the fair value of acquired property, plant and equipment and identifiable intangible assets, and the associated income tax impacts. The pro forma results for the years ended June 24, 2012 include $122 million of costs related to inventory fair value adjustments on products sold, share-based compensation associated with accelerated vesting and acquisition-related costs, which are not expected to occur in future quarters. The pro forma information does not necessarily reflect the actual results of operations had the acquisition been consummated at the beginning of the fiscal reporting period indicated nor is it indicative of future operating results. The pro forma information does not include any adjustment for (i) potential revenue enhancements, cost synergies or other operating efficiencies that could result from the acquisition or (ii) transaction or integration costs relating to the acquisition.
Year Ended | ||||||||
June 24, 2012 |
June 26, 2011 |
|||||||
(in thousands, except per share amounts) | ||||||||
Pro forma revenue |
$ | 3,804,252 | $ | 4,743,797 | ||||
Pro forma net income |
$ | 152,981 | $ | 894,864 | ||||
Pro forma basic earnings per share |
$ | 0.76 | $ | 4.34 | ||||
Pro forma diluted earnings per share |
$ | 0.74 | $ | 4.18 |
Note 17: Goodwill and Intangible Assets
Goodwill
There were no significant changes in the goodwill balance during the twelve months ended June 30, 2013. Of the $1.5 billion goodwill balance, $61 million is tax deductible and the remaining balance is not tax deductible due to purchase accounting and applicable foreign law.
92
Intangible Assets
The following table provides the Companys intangible assets as of June 30, 2013 (in thousands, except years):
Gross | Accumulated Amortization |
Net | Weighted- Average Useful Life (years) |
|||||||||||||
Customer relationships |
$ | 624,686 | $ | (103,519 | ) | $ | 521,167 | 9.01 | ||||||||
Existing technology |
653,628 | (139,894 | ) | 513,734 | 6.97 | |||||||||||
Patents |
32,053 | (22,036 | ) | 10,017 | 6.09 | |||||||||||
Backlog |
10,000 | (10,000 | ) | | 1.00 | |||||||||||
Other intangible assets |
35,216 | (34,889 | ) | 327 | 4.10 | |||||||||||
|
|
|
|
|
|
|||||||||||
Intangible assets subject to amortization |
1,355,583 | (310,338 | ) | 1,045,245 | ||||||||||||
In process research and development |
20,000 | 20,000 | ||||||||||||||
Development rights |
9,100 | 9,100 | ||||||||||||||
|
|
|
|
|||||||||||||
Intangible assets not subject to amortization |
29,100 | 29,100 | ||||||||||||||
|
|
|
|
|
|
|||||||||||
Total intangible assets |
$ | 1,384,683 | $ | (310,338 | ) | $ | 1,074,345 | |||||||||
|
|
|
|
|
|
The following table provides details of the Companys intangible assets as of June 24, 2012 (in thousands, except years):
Gross | Accumulated Amortization |
Net | Weighted- Average Useful Life (years) |
|||||||||||||
Customer relationships |
$ | 615,411 | $ | (32,041 | ) | $ | 583,370 | 9.04 | ||||||||
Existing technology |
642,311 | (48,378 | ) | 593,933 | 6.97 | |||||||||||
Patents |
30,870 | (17,525 | ) | 13,345 | 6.05 | |||||||||||
Backlog |
10,000 | (548 | ) | 9,452 | 1.00 | |||||||||||
Other intangible assets |
35,216 | (33,989 | ) | 1,227 | 4.10 | |||||||||||
|
|
|
|
|
|
|||||||||||
Intangible assets subject to amortization |
1,333,808 | (132,481 | ) | 1,201,327 | ||||||||||||
In process research and development |
30,000 | 30,000 | ||||||||||||||
Development rights |
9,100 | 9,100 | ||||||||||||||
|
|
|
|
|||||||||||||
Intangible assets not subject to amortization |
39,100 | 39,100 | ||||||||||||||
|
|
|
|
|
|
|||||||||||
Total intangible assets |
$ | 1,372,908 | $ | (132,481 | ) | $ | 1,240,427 | |||||||||
|
|
|
|
|
|
The Company recognized $177.6 million, $26.9 million, and $21.0 million, in intangible asset amortization expense during fiscal years 2013, 2012, and 2011, respectively.
The estimated future amortization expense of intangible assets, excluding those with indefinite lives, as of June 30, 2013 was as follows (in thousands):
Fiscal Year |
Amount | |||
2014 |
$ | 160,887 | ||
2015 |
157,310 | |||
2016 |
155,093 | |||
2017 |
153,352 | |||
2018 |
152,100 | |||
Thereafter |
266,503 | |||
|
|
|||
$ | 1,045,245 | |||
|
|
93
Note 18: Segment, Geographic Information and Major Customers
The Company operates in one reportable business segment: manufacturing and servicing of wafer processing semiconductor manufacturing equipment. The Companys material operating segments qualify for aggregation due to their customer base and similarities in economic characteristics, nature of products and services, and processes for procurement, manufacturing and distribution.
The Company operates in six geographic regions: North America, Europe, Japan, Korea, Taiwan, and Asia Pacific. For geographical reporting, revenue is attributed to the geographic location in which the customers facilities are located while long-lived assets are attributed to the geographic locations in which the assets are located.
Revenues and long-lived assets by geographic region were as follows:
Year Ended | ||||||||||||
June 30, 2013 |
June 24, 2012 |
June 26, 2011 |
||||||||||
(in thousands) | ||||||||||||
Revenue: |
||||||||||||
Taiwan |
$ | 1,026,548 | $ | 467,922 | $ | 766,910 | ||||||
North America |
734,324 | 458,531 | 393,004 | |||||||||
Korea |
603,821 | 893,549 | 756,660 | |||||||||
Asia Pacific |
573,696 | 292,963 | 492,600 | |||||||||
Japan |
368,095 | 308,189 | 405,371 | |||||||||
Europe |
292,432 | 244,038 | 423,148 | |||||||||
|
|
|
|
|
|
|||||||
Total revenue |
$ | 3,598,916 | $ | 2,665,192 | $ | 3,237,693 | ||||||
|
|
|
|
|
|
June 30, 2013 |
June 24, 2012 |
June 26, 2011 |
||||||||||
(in thousands) | ||||||||||||
Long-lived assets: |
||||||||||||
North America |
$ | 484,273 | $ | 463,156 | $ | 191,221 | ||||||
Europe |
109,934 | 107,893 | 69,442 | |||||||||
Asia Pacific |
5,079 | 8,317 | 3,738 | |||||||||
Taiwan |
2,953 | 3,169 | 3,897 | |||||||||
Japan |
680 | 1,068 | 1,067 | |||||||||
Korea |
991 | 993 | 1,093 | |||||||||
|
|
|
|
|
|
|||||||
Total long-lived assets |
$ | 603,910 | $ | 584,596 | $ | 270,458 | ||||||
|
|
|
|
|
|
In fiscal year 2013, three customers accounted for approximately 19%, 15%, and 11% of total revenues. In fiscal year 2012, three customers accounted for approximately 30%, 12%, and 10% of total revenues. In fiscal year 2011, one customer accounted for approximately 24% of total revenues.
Note 19: Restructuring Charges
From time to time, Lam initiates restructuring activities to appropriately align its cost structure relative to prevailing economic and industry conditions and associated customer demand as well as in connection with certain acquisitions. Costs associated with restructuring actions can include termination benefits and related charges in addition to facility closure, contract termination and other related activities.
Accounting for restructuring activities, as compared to regular operating cost management activities, requires an evaluation of formally committed and approved plans. Restructuring activities have comparatively
94
greater strategic significance and materiality and may involve exit activities, whereas regular cost containment activities are more tactical in nature and are rarely characterized by formal and integrated action plans or exiting a particular product, facility, or service.
March 2009 Plan
Beginning in the March 2009 quarter, the Company incurred restructuring expenses designed to align the Companys cost structure with its outlook for the economic environment and business opportunities. The remaining liability under this plan of $26.7 million relates to the residual value guarantee under certain of the Companys unoccupied operating leases. See Note 14 to the Consolidated Financial Statements for additional information regarding residual value guarantees.
Acquired Restructuring Liabilities
In addition to restructuring plans initiated by the Company, a restructuring liability related to future rent obligations on unoccupied facilities was assumed in the Novellus acquisition. The associated liability balance of $11.4 million, as of June 30, 2013, is expected to be paid by the end of fiscal year 2017.
Note 20: Stock Repurchase Program
On December 14, 2011, the Board of Directors authorized the repurchase of up to $1.6 billion of Company common stock, which replaced the previous repurchase authorizations. The Company completed the repurchase of all amounts available under this share repurchase authorization during the year ended June 30, 2013.
On April 22, 2013, the Board of Directors authorized the repurchase of up to $250 million of Company common stock. These repurchases can be conducted on the open market or as private purchases and may include the use of derivative contracts with large financial institutions, in all cases subject to compliance with applicable law. Repurchases will be funded using the Companys on-shore cash and on-shore cash generation. This repurchase program has no termination date and may be suspended or discontinued at any time.
Repurchases under the repurchase program were as follows during the periods indicated:
Period |
Total Number of |
Total Cost of |
Average Price Paid |
Amount Available |
||||||||||||
(in thousands, except per share data) | ||||||||||||||||
Available balance as of June 24, 2012 |
$ | 911,933 | ||||||||||||||
Quarter ended September 23, 2012 |
11,970 | $ | 344,001 | $ | 34.79 | $ | 567,932 | |||||||||
Quarter ended December 23, 2012 |
10,190 | $ | 354,029 | $ | 34.74 | $ | 213,903 | |||||||||
Quarter ended March 31, 2013 |
5,312 | $ | 213,903 | $ | 37.73 | $ | | |||||||||
Authorization of new $250 million - April 2013 |
$ | 250,000 | ||||||||||||||
Quarter ended June 30, 2013 |
90 | $ | | $ | | $ | 250,000 |
* | Average price paid per share excludes accelerated share repurchases for which cost was incurred in fiscal year 2012, but shares were received in fiscal year 2013 and for which costs were incurred in the quarter ended March 31, 2013, but for which final settlement of shares was not received until the quarter ended June 30, 2013. See Collared Accelerated Share Repurchasessection below for details regarding average price associated with these transactions. |
In addition to shares repurchased under Board authorized repurchase program shown above, during the year ended June 30, 2013, the Company acquired 595,000 shares at a total cost of $22.9 million which the Company
95
withheld through net share settlements to cover minimum tax withholding obligations upon the vesting of restricted stock unit awards granted under the Companys equity compensation plans. The shares retained by the Company through these net share settlements are not a part of the Board-authorized repurchase program but instead are authorized under the Companys equity compensation plans.
As part of its share repurchase program, the Company may from time-to-time enter into structured share repurchase arrangements with financial institutions using general corporate funds. Such arrangements entered into or settled during the year ended June 30, 2013 included the following:
Collared Accelerated Share Repurchases Settled During Current Fiscal Year
During the year ended June 24, 2012, the Company entered into two share repurchase transactions under one master repurchase arrangement. Under these collared accelerated share repurchase transactions (ASRs), the Company made up-front cash payments of $375 million and $200 million, respectively, three days after the respective trade date in exchange for an initial delivery of 6.6 million and 3.9 million shares of its common stock, respectively. The number of shares to ultimately be repurchased by the Company is based generally on the volume-weighted average price (VWAP) of the Companys common stock during the term of the ASR minus a pre-determined discount set at inception of the ASR, subject to collar provisions that provide a minimum and maximum number of shares that the Company could repurchase under the agreements.
The minimum and maximum thresholds for each transaction were established based on the average of the VWAP prices for the Companys common stock during an initial hedge period. The Company received incremental shares on top of the initial shares delivered such that the total number of shares received after the initial hedge period equaled 8.8 million and 4.8 million shares, equivalent to the minimum number of shares to be delivered under the terms of the ASRs, respectively. The ASRs were scheduled to end on or before September 18, 2012 and October 9, 2012, respectively. However, each ASR was subject to acceleration at the option of the counterparty at any time after June 27, 2012 and July 19, 2012, respectively. At the conclusion of the ASRs, the Company would receive additional shares based on the VWAP of the Companys common stock during the term of the agreement minus the pre-determined fixed discount, such that the total number of shares received under the ASRs would not exceed the maximum of 10.8 million and 6.6 million shares, respectively.
The Company accounted for each ASR as two separate transactions: (a) as shares of common stock acquired in a treasury stock transaction recorded on the acquisition date and (b) as a forward contract indexed to the Companys own common stock and classified in stockholders equity. As such, the Company accounted for the shares that it received under the ASRs as a repurchase of its common stock for the purpose of calculating earnings per common share. The Company has determined that the forward contract indexed to the Companys common stock met all of the applicable criteria for equity classification in accordance with the Derivatives and Hedging topic of the FASB ASC, and, therefore, the ASRs were not accounted for as derivative instruments. As of June 24, 2012, the aggregate repurchase price of $575.0 million was reflected as Treasury stock, at cost, in the Consolidated Balance Sheet.
The counterparty to the $375 million ASR designated July 6, 2012 as the accelerated termination date, at which time the Company settled the ASR and received an additional 1.3 million shares of common stock in addition to the minimum shares already received, which represented a weighted average share price of approximately $36.80 for the transaction period. The counterparty to the $200 million ASR designated July 25, 2012 as the accelerated termination date, at which time the Company settled the ASR and received an additional 0.7 million shares of common stock in addition to the minimum shares already received, which represented a weighted average share price of approximately $36.12 for the transaction period.
Collared Accelerated Share Repurchases Executed During Current Fiscal Year
During the year ended June 30, 2013, the Company entered into a share repurchase transaction under the existing master repurchase arrangement. Under this ASR, the Company made an up-front cash payment of
96
$86.4 million, in exchange for an initial delivery of 1.5 million shares of its common stock and a subsequent delivery of 0.4 million shares following the initial hedge period
As with the prior ASRs, the minimum and maximum thresholds for the transaction were established based on the average of the VWAP prices for the Companys common stock during an initial hedge period. The ASR was scheduled to end at any time after March 21, 2013 and on or before May 21, 2013. At the conclusion of the ASRs, the Company would receive additional shares based on the VWAP of the Companys common stock during the term of the agreement minus the pre-determined fixed discount, such that the total number of shares received under this ASR would not exceed the maximum of 2.2 million shares.
The counterparty designated May 21, 2013 as the termination date, at which time the Company settled the ASR and received an additional 0.1 million shares of common stock in addition to the minimum shares already received, which represented a weighted average share price of approximately $42.71 for the transaction period.
As of June 30, 2013, the aggregate repurchase price of $86.4 million is reflected as Treasury stock, at cost, in the Consolidated Balance Sheet.
Note 21: Legal Proceedings
The Company is either a defendant or plaintiff in various actions that have arisen from time to time in the normal course of business, including intellectual property claims. The Company accrues for a liability when it is both probable that a liability has been incurred and the amount of the loss can be reasonably estimated. Significant judgment is required in both the determination of probability and the determination as to whether a loss is reasonably estimable. To the extent there is a reasonable possibility that the losses could exceed the amounts already accrued, the Company believes that the amount of any such additional loss would be immaterial to the Companys business, financial condition, and results of operations.
97
Report of Independent Registered Public Accounting Firm
The Board of Directors and Stockholders of Lam Research Corporation
We have audited the accompanying consolidated balance sheets of Lam Research Corporation as of June 30, 2013 and June 24, 2012, and the related consolidated statements of operations, comprehensive income, stockholders equity and cash flows for each of the three years in the period ended June 30, 2013. Our audits also included the financial statement schedule listed in the Index at Item 15. These financial statements are the responsibility of the Company's management. Our responsibility is to express an opinion on these financial statements based on our audits.
We conducted our audits in accordance with the standards of the Public Company Accounting Oversight Board (United States). Those standards require that we plan and perform the audit to obtain reasonable assurance about whether the financial statements are free of material misstatement. An audit includes examining, on a test basis, evidence supporting the amounts and disclosures in the financial statements. An audit also includes assessing the accounting principles used and significant estimates made by management, as well as evaluating the overall financial statement presentation. We believe that our audits provide a reasonable basis for our opinion.
In our opinion, the financial statements referred to above present fairly, in all material respects, the consolidated financial position of Lam Research Corporation at June 30, 2013 and June 24, 2012, and the consolidated results of its operations and its cash flows for each of the three years in the period ended June 30, 2013, in conformity with U.S. generally accepted accounting principles. Also, in our opinion, the related financial statement schedule, when considered in relation to the basic financial statements taken as a whole, presents fairly in all material respects the information set forth therein.
We also have audited, in accordance with the standards of the Public Company Accounting Oversight Board (United States), Lam Research Corporation's internal control over financial reporting as of June 30, 2013, based on criteria established in Internal Control-Integrated Framework issued by the Committee of Sponsoring Organizations of the Treadway Commission (1992 framework) and our report dated August 27, 2013, expressed an unqualified opinion thereon.
/s/ ERNST & YOUNG LLP
San Jose, California
August 27, 2013
98
Report of Independent Registered Public Accounting Firm
The Board of Directors and Stockholders of Lam Research Corporation
We have audited Lam Research Corporations internal control over financial reporting as of June 30, 2013 based on criteria established in Internal Control Integrated Framework issued by the Committee of Sponsoring Organizations of the Treadway Commission (1992 framework) (the COSO criteria). Lam Research Corporation management is responsible for maintaining effective internal control over financial reporting, and for its assessment of the effectiveness of internal control over financial reporting included in the accompanying Managements Report on Internal Control Over Financial Reporting. Our responsibility is to express an opinion on the companys internal control over financial reporting based on our audit.
We conducted our audit in accordance with the standards of the Public Company Accounting Oversight Board (United States). Those standards require that we plan and perform the audit to obtain reasonable assurance about whether effective internal control over financial reporting was maintained in all material respects. Our audit included obtaining an understanding of internal control over financial reporting, assessing the risk that a material weakness exists, testing and evaluating the design and operating effectiveness of internal control based on the assessed risk, and performing such other procedures as we considered necessary in the circumstances. We believe that our audit provides a reasonable basis for our opinion.
A companys internal control over financial reporting is a process designed to provide reasonable assurance regarding the reliability of financial reporting and the preparation of financial statements for external purposes in accordance with generally accepted accounting principles. A companys internal control over financial reporting includes those policies and procedures that (1) pertain to the maintenance of records that, in reasonable detail, accurately and fairly reflect the transactions and dispositions of the assets of the company; (2) provide reasonable assurance that transactions are recorded as necessary to permit preparation of financial statements in accordance with generally accepted accounting principles, and that receipts and expenditures of the company are being made only in accordance with authorizations of management and directors of the company; and (3) provide reasonable assurance regarding prevention or timely detection of unauthorized acquisition, use, or disposition of the companys assets that could have a material effect on the financial statements.
Because of its inherent limitations, internal control over financial reporting may not prevent or detect misstatements. Also, projections of any evaluation of effectiveness to future periods are subject to the risk that controls may become inadequate because of changes in conditions, or that the degree of compliance with the policies or procedures may deteriorate.
In our opinion, Lam Research Corporation maintained, in all material respects, effective internal control over financial reporting as of June 30, 2013, based on the COSO criteria.
We also have audited, in accordance with the standards of the Public Company Accounting Oversight Board (United States), the consolidated balance sheets of Lam Research Corporation as of June 30, 2013 and June 24, 2012, and the related consolidated statement of income, comprehensive income, stockholders equity and cash flows for each of the three years in the period ended June 30, 2013 of Lam Research Corporation and our report dated August 27, 2013 expressed an unqualified opinion thereon.
/s/ ERNST & YOUNG LLP
San Jose, California
August 27, 2013
99
Pursuant to the requirements of Section 13 or 15(d) of the Securities Exchange Act of 1934, as amended, the Registrant has duly caused this Report to be signed on its behalf by the undersigned, thereunto duly authorized.
LAM RESEARCH CORPORATION | ||
By | /s/ Martin B. Anstice | |
Martin B. Anstice | ||
President and Chief Executive Officer |
Dated: August 27, 2013
100
POWER OF ATTORNEY AND SIGNATURES
By signing this Annual Report on Form 10-K below, I hereby appoint each of Martin B. Anstice and Douglas R. Bettinger, jointly and severally, as my attorney-in-fact to sign all amendments to this Form 10-K on my behalf, and to file this Form 10-K (including all exhibits and other related documents) with the Securities and Exchange Commission. I authorize each of my attorneys-in-fact to (1) appoint a substitute attorney-in-fact for himself and (2) perform any actions that he believes are necessary or appropriate to carry out the intention and purpose of this Power of Attorney. I ratify and confirm all lawful actions taken directly or indirectly by my attorneys-in-fact and by any properly appointed substitute attorneys-in-fact.
Pursuant to the requirements of the Securities Exchange Act of 1934, as amended, this Report has been signed below by the following persons on behalf of the Registrant and in the capacities and on the dates indicated.
Signatures |
Title |
Date | ||
Principal Executive Officer
/s/ Martin B. Anstice Martin B. Anstice |
President and Chief Executive Officer | August 27, 2013 | ||
Principal Financial Officer and Principal Accounting Officer |
||||
/s/ Douglas R. Bettinger Douglas R. Bettinger |
Executive Vice President, Chief Financial Officer, and Chief Accounting Officer | August 27, 2013 | ||
Other Directors
/s/ Stephen G. Newberry Stephen G. Newberry |
Chairman | August 27, 2013 | ||
/s/ Eric K. Brandt Eric K. Brandt |
Director | August 27, 2013 | ||
/s/ Michael R. Cannon Michael R. Cannon |
Director | August 27, 2013 | ||
/s/ Youssef A. El-Mansy Youssef A. El-Mansy |
Director | August 27, 2013 | ||
/s/ Christine Heckart Christine Heckart |
Director | August 27, 2013 | ||
/s/ Grant M. Inman Grant M. Inman |
Director | August 27, 2013 | ||
/s/ Catherine P. Lego Catherine P. Lego |
Director | August 27, 2013 |
101
Signatures |
Title |
Date | ||
/s/ Krishna Saraswat Krishna Saraswat |
Director | August 27, 2013 | ||
/s/ William R. Spivey William R. Spivey |
Director | August 27, 2013 | ||
/s/ Abhi Talwalkar Abhi Talwalkar |
Director | August 27, 2013 |
102
SCHEDULE II VALUATION AND QUALIFYING ACCOUNTS
Additions | ||||||||||||||||
Description |
Balance at Beginning of Period |
Charged to Costs and Expenses |
Write-offs, Net of Recoveries (1) |
Balance at End of Period |
||||||||||||
(in thousands) | ||||||||||||||||
YEAR ENDED JUNE 30, 2013 |
||||||||||||||||
Deducted from asset accounts: |
||||||||||||||||
Allowance for doubtful accounts |
$ | 5,248 | $ | 200 | $ | | $ | 5,448 | ||||||||
YEAR ENDED JUNE 24, 2012 |
||||||||||||||||
Deducted from asset accounts: |
||||||||||||||||
Allowance for doubtful accounts |
$ | 4,720 | $ | 403 | $ | 125 | $ | 5,248 | ||||||||
YEAR ENDED JUNE 26, 2011 |
||||||||||||||||
Deducted from asset accounts: |
||||||||||||||||
Allowance for doubtful accounts |
$ | 10,609 | $ | 290 | $ | (6,179 | ) | $ | 4,720 |
(1) | During fiscal year 2012, write-off, net of recoveries represents $0.1 million of recoveries against previously written-off balances |
During fiscal year 2011, write-off, net of recoveries represents $3.8 million release of allowance and $2.4 million write-off of customer specific accounts.
103
ANNUAL REPORT ON FORM 10-K
FOR THE FISCAL YEAR ENDED JUNE 30, 2013
EXHIBIT INDEX
Exhibit |
Description | |
3.1(2) | Certificate of Incorporation of the Registrant, dated September 7, 1989; as amended by the Agreement and Plan of Merger, Dated February 28, 1990; the Certificate of Amendment dated October 28, 1993; the Certificate of Ownership and Merger dated December 15, 1994; the Certificate of Ownership and Merger dated June 25, 1999 and the Certificate of Amendment effective as of March 7, 2000; and the Certificate of Amendment effective as of November 5, 2009. | |
3.2(28) | Bylaws of the Registrant, as amended, dated May 17, 2013. | |
3.3(2) | Certificate of Designation, Preferences and Rights of Series A Junior Participating Preferred Stock dated January 27, 1997. | |
4.1(14) | Indenture (including Form of Notes), dated as of May 11, 2011, by and between Lam Research Corporation, and The Bank of New York Mellon Trust Company, N.A, as trustee, with respect to the 2016 Notes | |
4.2(14) | Indenture (including Form of Notes), dated as of May 11, 2011, by and between Lam Research Corporation, and The Bank of New York Mellon Trust Company, N.A, as trustee, with respect to the 2018 Notes | |
4.8(5)* | Amended and restated 1997 Stock Incentive Plan. | |
4.12(4)* | Amended and restated 1999 Stock Option Plan. | |
4.13(29)* | Lam Research Corporation 1999 Employee Stock Purchase Plan, as amended. | |
4.14(10)* | Lam Research Corporation 2004 Executive Incentive Plan, as amended. | |
4.15* | Lam Research Corporation 2007 Stock Incentive Plan, as amended. | |
4.16(15)* | Lam Research Corporation Elective Deferred Compensation Plan. | |
4.17(15)* | Lam Research Corporation Elective Deferred Compensation Plan II. | |
4.18(18) | Indenture between Novellus Systems, Inc. as Issuer and The Bank of New York Mellon Trust Company, N.A. as Trustee, dated as of May 10, 2011, including the form of 2.625% Senior Convertible Notes due 2041. | |
4.19(13) | Supplemental Indenture among the Registrant, as Guarantor, Novellus Systems, Inc. as Issuer and The Bank of New York Mellon Trust Company, N.A. as Trustee, dated as of June 4, 2012. | |
10.3(1)* | Form of Indemnification Agreement. | |
10.99(3)* | Form of Nonstatutory Stock Option Agreement Lam Research Corporation 1997 Stock Incentive Plan. | |
10.102(6) | Form of Restricted Stock Unit Award Agreement (U.S. Agreement A) Lam Research Corporation 1997 Stock Incentive Plan. | |
10.103(6) | Form of Restricted Stock Unit Award Agreement (non-U.S. Agreement I-A) Lam Research Corporation 1997 Stock Incentive Plan. | |
10.106(7)* | Form of Restricted Stock Unit Award Agreement (U.S. Agreement) Lam Research Corporation 2007 Stock Incentive Plan |
104
10.107(8) | Form of Restricted Stock Unit Award Agreement Outside Directors (U.S. Agreement) Lam Research Corporation 2007 Stock Incentive Plan. | |
10.108(8) | Form of Restricted Stock Unit Award Agreement Outside Directors (non-U.S. Agreement) Lam Research Corporation 2007 Stock Incentive Plan. | |
10.117(9) | Lease Agreement (Fremont Building #1) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 21, 2007. | |
10.118(9) | Pledge Agreement (Fremont Building #1) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 21, 2007. | |
10.119(9) | Closing Certificate and Agreement (Fremont Building #1) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 21, 2007. | |
10.120(9) | Agreement Regarding Purchase and Remarketing Options (Fremont Building #1) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 21, 2007. | |
10.121(9) | Lease Agreement (Fremont Building #2) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 21, 2007. | |
10.122(9) | Pledge Agreement (Fremont Building #2) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 21, 2007. | |
10.123(9) | Closing Certificate and Agreement (Fremont Building #2) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 21, 2007. | |
10.124(9) | Agreement Regarding Purchase and Remarketing Options (Fremont Building #2) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 21, 2007. | |
10.125(9) | Lease Agreement (Fremont Building #3) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 21, 2007. | |
10.126(9) | Pledge Agreement (Fremont Building #3) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 21, 2007. | |
10.127(9) | Closing Certificate and Agreement (Fremont Building #3) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 21, 2007. | |
10.128(9) | Agreement Regarding Purchase and Remarketing Options (Fremont Building #3) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 21, 2007. | |
10.129(9) | Lease Agreement (Fremont Building #4) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 21, 2007. | |
10.130(9) | Pledge Agreement (Fremont Building #4) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 21, 2007. | |
10.131(9) | Closing Certificate and Agreement (Fremont Building #4) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 21, 2007. | |
10.132(9) | Agreement Regarding Purchase and Remarketing Options (Fremont Building #4) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 21, 2007. | |
10.133(9) | Lease Agreement (Livermore/Parcel 6) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 18, 2007. | |
10.134(9) | Pledge Agreement (Livermore/Parcel 6) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 18, 2007. | |
10.135(9) | Closing Certificate and Agreement (Livermore/Parcel 6) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 18, 2007. |
105
10.136(9) | Agreement Regarding Purchase and Remarketing Options (Livermore/Parcel 6) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 18, 2007. | |
10.137(9) | Construction Agreement (Livermore/Parcel 6) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 18, 2007. | |
10.138(9) | Lease Agreement (Livermore/Parcel 7) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 18, 2007. | |
10.139(9) | Pledge Agreement (Livermore/Parcel 7) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 18, 2007. | |
10.140(9) | Closing Certificate and Agreement (Livermore/Parcel 7) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 18, 2007. | |
10.141(9) | Agreement Regarding Purchase and Remarketing Options (Livermore/Parcel 7) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 18, 2007. | |
10.142(9) | Construction Agreement (Livermore/Parcel 7) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated December 18, 2007. | |
10.143(33) | First Modification Agreement (Fremont Buildings #1, #2, #3, #4) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated April 3, 2008. | |
10.144(33) | First Modification Agreement (Livermore Parcel 6) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated April 3, 2008. | |
10.145(33) | Second Modification Agreement (Livermore Parcel 6) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated July 9, 2008. | |
10.146(33) | First Modification Agreement (Livermore Parcel 7) between Lam Research Corporation and BNP Paribas Leasing Corporation, dated July 9, 2008. | |
10.148(11)* | Form of Indemnification Agreement. | |
10.149(11)* | Reformation of Stock Option Agreement. | |
10.150(12)* | Stock Option Amendment and Special Bonus Agreement. | |
10.156(16)* | Employment Agreement with Stephen G. Newberry, dated November 30, 2011. | |
10.157(16)* | Employment Agreement with Martin B. Anstice, dated November 30, 2011. | |
10.158(17)* | Employment Agreement with Timothy M. Archer, dated March 6, 2012. | |
10.159(13)* | Form of Indemnification Agreement. | |
10.160(19) | Assignment and Assumption of Lessees Interest in Lease (Units 8 and 9, Palo Alto) and Covenants, Conditions and Restrictions on Leasehold Interests (Units 1-12, Palo Alto) by and between Varian Associates, Inc. and Novellus dated May 7, 1997. | |
10.161(20) | Environmental Agreement by and between Varian Associates, Inc. and Novellus dated May 7, 1997. | |
10.162(21)* | Form of Novellus Directors and Officers Indemnification Agreement. | |
10.163(22)* | GaSonics International Corporation 1994 Stock Option/Stock Issuance plan, together with forms of agreements thereunder, as assumed by Novellus. | |
10.164(22)* | GaSonics International Corporation Supplemental Stock Option Plan, as assumed by Novellus. | |
10.165(23)* | Novellus 2001 Stock Incentive Plan, as amended, together with forms of agreement thereunder. | |
10.166(24)* | SpeedFam-IPEC, Inc. Amended and Restated 1995 Stock Plan, as assumed by Novellus. | |
10.167(24)* | SpeedFam-IPEC, Inc. 2001 Nonstatutory Stock Option Plan, together with forms of agreements thereunder, as assumed by Novellus. |
106
10.168(24) | Lease Guaranty between Novellus and Phoenix Industrial Investment Partners, L.P. dated January 21, 2003. | |
10.169(25) | Binding Memorandum of Understanding between Novellus, and Applied Materials, Inc., effective as of September 3, 2004. Portions of this exhibit have been omitted pursuant to a request for confidential treatment. | |
10.170(26)* | Novellus Amended Executive Voluntary Deferred Compensation Plan, as amended. | |
10.171(27)* | Novellus Accelerated Stock Vesting Retirement Plan Summary. | |
10.172(30)* | Novellus Systems, Inc. 2011 Stock Incentive Plan, as amended July 18, 2012. | |
10.173* | Forms of Nonstatutory Stock Option Agreement under the Novellus 2011 Stock Incentive Plan. | |
10.174(30)* | Forms of restricted stock unit award agreement under the Novellus 2011 Stock Incentive Plan. | |
10.175(31)* | Employment Agreement with Douglas R. Bettinger, dated February 25, 2013. | |
10.176* | Form of Nonstatutory Stock Option Agreement Lam Research Corporation 2007 Stock Incentive Plan. | |
10.177(32)* | Employment Agreement with Ernest E. Maddock, dated September 7, 2012. | |
10.178(32)* | Employment Agreement with Richard A. Gottscho, dated September 7, 2012. | |
10.179(32)* | Form of Change in Control Agreement. | |
21 | Subsidiaries of the Registrant. | |
23.1 | Consent of Independent Registered Public Accounting Firm. | |
24 | Power of Attorney (See Signature page) | |
31.1 | Rule 13a 14(a) / 15d 14(a) Certification (Principal Executive Officer) | |
31.2 | Rule 13a 14(a) / 15d 14(a) Certification (Principal Financial Officer) | |
32.1 | Section 1350 Certification (Principal Executive Officer) | |
32.2 | Section 1350 Certification (Principal Financial Officer) | |
101.INS | XBRL Instance Document | |
101.SCH | XBRL Taxonomy Extension Schema Document | |
101.CAL | XBRL Taxonomy Extension Calculation Linkbase Document | |
101.DEF | XBRL Taxonomy Extension Definition Linkbase Document | |
101.LAB | XBRL Taxonomy Extension Label Linkbase Document | |
101.PRE | XBRL Taxonomy Extension Presentation Linkbase Document |
(1) | Incorporated by reference to the Registrants Quarterly Report on Form 10-Q for the quarter ended April 3, 1988. |
(2) | Incorporated by reference to Registrants Amendment No. 2 to its Annual Report on Form 10K/A for the fiscal year ended June 25, 2000, and Registrants Current Report on Form 8-K filed on November 10, 2009. |
(3) | Incorporated by reference to Registrants Annual Report on Form 10-K for the fiscal year ended June 27, 2004. |
(4) | Incorporated by reference to Registrants Quarterly Report on Form 10-Q for the quarter ended December 29, 2002. |
107
(5) | Incorporated by reference to Registrants Current Report on Form 8-K filed on November 8, 2005. |
(6) | Incorporated by reference to Registrants Current Report on Form 8-K filed on February 6, 2006. |
(7) | Incorporated by reference to Registrants Quarterly Report on Form 10-Q for the quarter ended December 24, 2006. |
(8) | Incorporated by reference to Registrants Quarterly Report on Form 10-Q for the quarter ended March 25, 2007. |
(9) | Incorporated by reference to Registrants Annual Report on Form 10-K for the fiscal year ended June 24, 2007. |
(10) | Incorporated by reference to Registrants Annual Report on Form 10-K for the fiscal year ended June 27, 2010. |
(11) | Incorporated by reference to Registrants Current Report on Form 8-K filed on November 13, 2008. |
(12) | Incorporated by reference to Registrants Current Report on Form 8-K filed on May 8, 2008. |
(13) | Incorporated by reference to Registrants Current Report on Form 8-K filed on June 4, 2012. |
(14) | Incorporated by reference to Registrants Current Report on Form 8-K filed on May 11, 2011 |
(15) | Incorporated by reference to Registrants Annual Report on Form 10-K for the fiscal year ended June 26, 2011. |
(16) | Incorporated by reference to Registrants Current Report on Form 8-K filed on December 5, 2011. |
(17) | Incorporated by reference to Registrants Amendment No. 1 to Registration Statement on Form S-4, filed on March 6, 2012. |
(18) | Incorporated by reference to Novellus Current Report on Form 8-K filed on May 10, 2011 (SEC File No. 000-17157). |
(19) | Incorporated by reference to Novellus Current Report on Form 8-K filed on July 7, 1997 (SEC File No. 000-17157). |
(20) | Incorporated by reference to Novellus Current Report on Form 8-K filed on July 7, 1997 (SEC File No. 000-17157). |
(21) | Incorporated by reference to Novellus Quarterly Report on Form 10-Q filed on August 13, 2002 (SEC File No. 000-17157). |
(22) | Incorporated by reference to Novellus Annual Report on Form 10-K filed on March 23, 2001 (SEC File No. 000-17157). |
(23) | Incorporated by reference to Novellus Quarterly Report on Form 10-Q filed on July 31, 2009 (SEC File No. 000-17157). |
(24) | Incorporated by reference to Novellus Annual Report on Form 10-K filed on March 5, 2003 (SEC File No. 000-17157). |
(25) | Incorporated by reference to Novellus Current Report on Form 8-K filed on September 24, 2004 (SEC File No. 000-17157). |
(26) | Incorporated by reference to Novellus Report on Form 10-Q filed on November 5, 2008 (SEC File No. 000-17157). |
(27) | Incorporated by reference to Novellus Quarterly Report on Form 10-Q filed on November 2, 2010 (SEC File No. 000-17157). |
(28) | Incorporated by reference to Registrants Current Report on Form 8-K filed on May 22, 2013. |
(29) | Incorporated by reference to Registrants Quarterly Report on Form 10-Q for the quarter ended December 23, 2012. |
(30) | Incorporated by reference to Registrants Annual Report on Form 10-K for the fiscal year ended June 24, 2012. |
(31) | Incorporated by reference to Registrants Current Report on Form 8-K filed on February 26, 2013. |
(32) | Incorporated by reference to Registrants Current Report on Form 8-K filed on September 10, 2012. |
(33) | Incorporated by reference to Registrants Annual Report on Form 10-K for the fiscal year ended June 29, 2008. |
* | Indicates management contract or compensatory plan or arrangement in which executive officers of the Company are eligible to participate. |
108